在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (17) |订阅

特权同学FPGA助学专版 今日: 0|主题: 561|排名: 190 

版主: 特权fpga
[求助] 条件语句 时序问题 attach_img happsky 2021-9-18 33160 diyangguang 2022-5-26 20:17
悬赏 [求助] xilinx .bin 不使用vivado下载 - [悬赏 200 信元资产] 学习使我快乐 2022-9-16 31060 rosesman 2023-1-20 11:33
[求助] 有没有好心人帮我下载一个petalinux2022.1 新人帖 attach_img 漾_H2O 2022-10-10 3838 daniil666 2023-1-18 05:25
[原创] FPGA入门:内里本质探索——器件结构 attach_img 特权fpga 2015-2-10 23182 ilove314 2015-2-10 14:30
[原创] FPGA入门:第一个工程实例之功能仿真运行 attach_img 特权fpga 2015-3-6 22134 redowl 2016-12-14 10:19
[求助] 求教 qinzhanao 2015-3-26 22817 yue6688 2019-11-21 11:48
[求助] 关于modelsim仿真问题 attach_img lmfsola 2015-4-5 22228 小武哥225 2015-4-8 13:39
[原创] 【征文】基于FPGA的MIPI RFFE测试 attach_img agree muzilinvkouyue 2015-4-14 23519 jackzhang 2015-4-19 22:05
[原创] FPGA实战演练逻辑篇30:FT232之UART电路设计 attach_img rousong1989 2015-5-29 24258 wentaohuster 2016-5-30 10:26
[原创] FPGA实战演练逻辑篇43:同步以及时钟的设计原则 attach_img rousong1989 2015-6-29 22145 霍兴华 2015-11-4 20:43
[原创] FPGA实战演练逻辑篇52:基本时序路径 attach_img rousong1989 2015-7-20 22212 murphy522 2015-7-24 13:02
[原创] FPGA实战演练逻辑篇53:reg2reg路径的时序分析 attach_img rousong1989 2015-7-24 22340 baoifan 2015-9-9 10:38
[原创] FPGA实战演练逻辑篇55:VGA驱动接口时序设计之2源同步接口 attach_img rousong1989 2015-7-29 21972 ysxwonder 2019-12-31 13:33
[原创] FPGA实战演练逻辑篇57:VGA驱动接口时序设计之4建立和保持时间分析 attach_img rousong1989 2015-8-2 22031 mnp55 2019-7-18 23:02
[原创] FPGA实战演练逻辑篇64:CMOS摄像头接口时序设计4时序约束 attach_img rousong1989 2015-8-18 21967 Chris_X 2015-9-9 18:05
[原创] FPGA实战演练逻辑篇66:仿真验证概述 attach_img 特权fpga 2015-8-23 22121 rango_1 2019-1-25 00:35
[原创] FPGA实战演练逻辑篇67:测试脚本编写 特权fpga 2015-8-25 22579 ysxwonder 2020-1-1 12:35
[原创] Xilinx FPGA入门连载3:文本编辑器notepad++安装 attach_img 特权fpga 2015-9-8 22679 rango_1 2019-1-25 00:37
[原创] Xilinx FPGA入门连载24:PLL实例之基本配置 attach_img 特权fpga 2015-11-16 22333 xmssy_zte 2015-12-31 14:04
[原创] Xilinx FPGA入门连载34:超声波测距终极结果显示之除法器IP解析 attach_img 特权fpga 2015-12-9 23272 atom-x 2018-12-24 13:51
[原创] Xilinx FPGA入门连载42:UART loopback测试 attach_img 特权fpga 2016-1-4 22693 fatalkiller 2018-5-5 14:58
[原创] Xilinx FPGA入门连载45:FPGA片内ROM实例之功能仿真 attach_img 特权fpga 2016-1-11 22096 eric_2017 2018-12-21 14:58
[原创] 玩转Vivado之Simulation attach_img 特权fpga 2016-1-13 23196 mnp55 2019-7-18 23:00
[原创] Xilinx FPGA入门连载46:FPGA片内ROM实例之chipscope在线调试 attach_img 特权fpga 2016-1-18 22175 bech1980 2017-11-12 07:45
[原创] Xilinx FPGA入门连载49:FPGA片内RAM实例之功能仿真 attach_img 特权fpga 2016-1-25 21708 小小胖 2016-2-8 23:32
[原创] 例说FPGA连载16:电源电路设计 attach_img 特权fpga 2016-8-5 22883 ysxwonder 2020-1-1 12:24
[原创] 例说FPGA连载27:7寸工业液晶屏子板设计 attach_img 特权fpga 2016-9-2 22472 huxl688 2018-4-24 12:51
[原创] 例说FPGA连载29:HDMI显示驱动子板设计 attach_img 特权fpga 2016-9-7 23387 test0731 2017-12-7 21:54
[原创] 善用Vivado工程配置文件xpr快速工程创建 特权fpga 2016-10-19 24010 tianfei23 2018-12-23 10:35
[原创] 例说FPGA连载41:DDR控制器集成与读写测试之DDR2 IP核接口描述 attach_img 特权fpga 2016-10-27 22225 dogrun 2017-8-30 09:59
[原创] 例说FPGA连载48:Qsys系统搭建与软件开发之软件工程运行调试 attach_img 特权fpga 2016-11-17 22370 wuyueda 2017-4-20 20:45
[原创] 例说FPGA连载54:NAND Flash实例之Avalon-ST总线 attach_img 特权fpga 2016-12-1 23846 jianfeng108 2018-7-15 22:20
[求助] I2C jiannanc 2017-7-11 23071 ysxwonder 2020-1-1 17:00
[原创] 勇敢的芯伴你玩转Altera FPGA连载1:FPGA是什么 attach_img rousong1989 2017-9-19 22503 jianfeng108 2018-7-15 22:16
[原创] 勇敢的芯伴你玩转Altera FPGA连载20:VGA显示接口电路 attach_img rousong1989 2017-11-7 21825 原子弹001 2017-11-11 19:13
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 22:54 , Processed in 0.020351 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块