在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (78) |订阅

IC验证资料 今日: 0|主题: 3507|排名: 9 

悬赏 [解决] 求Practical Uvm: Step by Step Examples by Srivatsa Vasudevan的电子书 - [悬赏 50 信元资产] attach_img  ...2 courageheart 2020-5-9 175860 huawencs 2024-4-11 11:00
[解决] Gvim中配置Systemverilog和UVM语法高亮 新人帖 attachment  ...23 Amaranwell 2019-6-20 257958 warewise6836 2024-3-29 22:28
[解决] 求Practical Uvm: Step by Step Examples by Srivatsa Vasudevan的电子书 attach_img  ...23 courageheart 2019-7-17 227173 liuyu1002 2023-7-6 16:37
[解决] CadenceIES12.2安装说明加破解 - [阅读权限 10]attachment  ...23 diyangguang 2013-7-27 20685 diyangguang 2022-9-22 22:28
[解决] Questasim 10.7C 64 位 linux 新人帖 attach_img  ...23 gyx3598 2020-12-21 215965 lans0625 2022-7-18 11:04
[解决] 用finesim+vcs做数模混仿verilog hierarchy问题[已解决] yuxueqiu123 2016-8-8 55722 Arvinliu 2021-10-21 14:53
[解决] UVM Environment for AHB Slave attachment  ...23 shoaibsaiufuddi 2012-7-31 237366 b9803222 2020-9-10 06:41
[解决] INCISIV12.20破解方法 attachment  ...23 diyangguang 2013-7-25 205967 vsop 2020-5-3 11:48
[解决] modelsim6.6d 自带破解windows7(64)可以正常使用  ...2 只差一点007 2014-2-27 126858 糊粘丶 2019-3-30 09:18
[解决] modelsim 10.0c破解方法 attachment  ...23456..7 jakeguo 2011-12-23 6929873 Yaojia 2018-11-25 17:37
[解决] SYNOPSYS VCS 安装过程 attachment  ...2345 2008051318 2015-9-27 479546 ruoyu5211314 2016-6-5 09:57
[解决] 关于Linux操作U盘指令 attach_img z894811350 2016-5-12 02236 z894811350 2016-5-12 14:42
[解决] SPI协议 headinghy 2015-6-25 02926 headinghy 2015-6-25 20:17
[解决] vcs mx不错 zhxf00 2014-7-28 01654 zhxf00 2014-7-28 14:30
[解决] current steer DAC 中遇到的测试问题 huangjw1 2010-7-15 12338 hiank 2012-6-24 16:12
[解决] 请教如何测量音频功放的输入阻抗 ale1012 2011-8-9 02712 ale1012 2011-8-9 22:06
[解决] 《基于systemverilog语言验证方法学》只有前四章 zhuzhzh 2010-6-22 03317 zhuzhzh 2010-6-22 16:01

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 07:28 , Processed in 0.015665 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块