在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 0|主题: 6535|排名: 29 

[求助] 请问大家都是用什么工具做SV的project? attachment joeyshen 2010-3-17 12371 jiangnanyu2008 2010-3-18 22:50
[求助] 有没有人能帮解释下不同的波形工具及格式的区别,谢谢! jling8 2010-1-21 59641 yiyangu 2010-3-17 00:31
[求助] 请教:fsdb波形文件的问题。 chaobo0 2010-3-13 310906 wangfang221 2010-3-16 21:30
[求助] 关于验证用systemverilog和VHDL yanghui714 2010-3-11 63111 sdkuan 2010-3-15 08:54
[求助] 请教一个VCS仿真对verilog2001语法支持的问题 sunhao0000 2009-12-25 34294 幽谷寻风 2010-3-14 19:31
[求助] 求functional specification for systemc 2.0技术文档 chibijia 2009-12-17 33460 水泥森林 2010-2-19 17:09
[求助] 如何让“新员工”了解验证分层的重要性 birdshanshan 2010-2-6 83809 birdshanshan 2010-2-11 20:12
[求助] 求助Calibre 后仿时电容电阻问题 急急!! 2001308346 2010-1-10 22971 simon_19851202 2010-2-2 17:05
[求助] PSL断言中的vunit如何使用? wangyingwei 2010-1-22 23596 wangyingwei 2010-1-22 16:54
[求助] 关于vcs编译的问题 wangfang221 2010-1-19 03931 wangfang221 2010-1-19 18:53
[求助] 请问哪里有modelsim6.3d? yanggs 2010-1-6 32223 guofu2010 2010-1-18 21:26
[求助] NC对SV仿真的环境变量的设置? wangyingwei 2010-1-18 32718 wangyingwei 2010-1-18 20:55
[求助] 目前都什么仿真工具支持断言呢? wangyingwei 2010-1-15 23352 guantou 2010-1-15 18:26
[求助] 请教FPGA自动复位问题 wuyueloulan 2009-12-29 25787 gongxiao 2010-1-14 20:46
[求助] 求助! coverage测试时遇到的难题 lioncrude 2010-1-5 14697 lioncrude 2010-1-5 17:58
[求助] modelsim 怎样观察wire值?? yxm433 2009-12-16 23226 victorueng 2009-12-31 16:48
[求助] 请教高手:错误注入的问题 anynothing 2009-12-24 35273 g9213706 2009-12-31 02:37
[求助] 求助:用vmm编写验证代码中遇到的问题!!! pkulafang 2009-12-14 63246 rr253 2009-12-27 12:57
[求助] 做功耗分析时运行read_parasitics 找不到端口的问题 09211956 2009-12-23 02537 09211956 2009-12-23 20:39
[求助] VCS协调仿真时的syscan与vlogan问题 deganleu 2009-12-23 08121 deganleu 2009-12-23 14:35
[求助] 有关FPGA开发板的价格 lsren123 2009-12-15 32783 dusy 2009-12-19 23:08
[求助] 求助:关于使用VMT_VIP对AXI_MASTER验证的问题 sunhui_asic 2012-10-31 04265 匿名 1970-1-1 08:00
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 02:23 , Processed in 0.035121 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块