在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 0|主题: 6542|排名: 80 

[原创] AWR_Design_Environment pt5 attachment jube2 2012-3-9 01805 jube2 2012-3-9 06:56
[原创] AWR_Design_Environment pt2 attachment jube2 2012-3-9 01897 jube2 2012-3-9 04:46
[原创] 验证环境的开发与测试流程 lordprotector 2012-2-28 42689 mildmind 2012-3-6 22:45
[原创] 我想感谢一个发过一本英文验证资料的兄弟或姐妹,如下 asic_wang 2012-2-22 34803 chen.terry 2012-2-23 14:46
[原创] formality的不确定性 fengzhepianzhou 2011-10-16 85746 chenzhao.ee 2012-2-16 13:41
[原创] RAES2.0与Raine DC-DC 1.0的比较 digest agree solothink 2012-2-8 02839 solothink 2012-2-8 13:36
[原创] 我有一个简单的想法 digest agree  ...23 kongfu2010 2011-11-4 239472 yyunju 2012-1-29 02:05
[原创] formality求助 abcyjpabc 2012-1-12 23359 Xiao_Fex 2012-1-14 09:54
[原创] modelsim后仿 和 VCS后仿 不一致 问题 请教  ...2 sh_0119 2010-9-30 147702 zz1514 2011-12-17 20:40
[原创] VCS跑zero-delay的gate sim,library该怎么改? nicholas08 2011-12-7 03019 nicholas08 2011-12-7 11:01
[原创] 仿真结果怎么与测试结果比较?有这算的方法吗? lane1969 2011-11-28 22078 yohuang 2011-11-28 15:43
[原创] Perl , Skill , tcl/tck , csh  ...23 vettle 2009-12-24 277952 xxtop 2011-11-22 18:56
[原创] 几种语言指令总结 attachment  ...2 lxflxflxf1987 2011-9-13 134814 jinnon 2011-11-22 08:59
[原创] 向好心人求教 lkk1988223 2011-10-22 22042 lkk1988223 2011-10-24 09:08
[原创] quartus中生成ddrii控制器时,avalon模式和native模式有什么区别? gerry1812 2011-10-18 02083 gerry1812 2011-10-18 09:58
[原创] 资料求助 Turbo_Jet 2011-9-6 12199 fenghu200132030 2011-9-6 08:31
[原创] 在外企,集成电路企业,有没有机会接触核心技术  ...2 bloodtea 2011-7-16 124707 一路樱花飘 2011-8-13 21:22
[原创] OVM问题请教 chxibin 2011-8-6 44070 chxibin 2011-8-10 11:55
[原创] 5年验证经历如何发展  ...23456 qingyou28 2010-6-1 5316769 coolwind1988 2011-8-8 17:28
[原创] 新的工具语言Gv attachment  ...23 hemm2000 2011-1-17 228320 xcykii 2011-7-25 20:08
[原创] fpga测内核请教 gerry1812 2011-6-12 42119 arthur8598 2011-7-8 17:05
[原创] synopsys vmm的AOP使用方法 lyu 2011-6-3 22815 arthur8598 2011-7-8 15:22
[原创] 有没有办法用modesim做VMM平台的验证呢?有什么办法呢,具体一点哦! systemverilog03 2010-3-13 73408 soelife 2011-7-8 13:26
[原创] VCS编译含`include"vmm.sv"文件的问题  ...2 systemverilog03 2010-3-13 168966 tomzhuo 2011-6-25 14:23
[原创] shuke的perl脚本 attachment  ...2 shuke 2011-4-27 105226 he013119 2011-6-22 15:27
[原创] synopsis的RAL该如何用? lyu 2011-6-3 43143 he013119 2011-6-22 13:35
[原创] 条件覆盖率分析 Tonyhai 2011-6-12 42531 TommyGG 2011-6-20 01:19
[原创] 华为面试  ...23 huawei007 2010-10-25 208247 lingmufeng 2011-6-18 18:31
[原创] HDMI北京授权测试中心 huayiliu 2011-5-17 12428 huayiliu 2011-5-18 21:20
[原创] 菜鸟一个,关于测试和设计!希望大牛来指导指导,谢谢了 ly860130 2011-4-28 62855 dl9996 2011-5-12 16:02
[原创] 基于四片Altera StratixIV 820的SoC原型验证平台 焕代时业 2011-5-11 14354 dl9996 2011-5-12 16:00
[原创] Synopsys 工具VERA使用请教 cheng066 2010-6-30 14842 zrzf23 2011-5-8 10:03
[原创] verilog中PLI中传参数和返回值 zhaoxiaopo 2011-3-21 43046 chienw 2011-5-7 11:14
[原创] 关于emacs的control键怎么按 shuke 2011-4-28 14955 seaaes 2011-4-28 19:40
[原创] 小弟对仿真器的工作原理的一点不懂,哪位大侠能给讲解一下?非常感谢 chxibin 2011-4-1 03652 chxibin 2011-4-1 15:35
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 01:00 , Processed in 0.020024 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块