在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1888|回复: 2

[求助] uvm transaction

[复制链接]
发表于 2021-3-16 14:47:52 | 显示全部楼层 |阅读模式
200资产
刚学uvm,不是很理解。
在一个uvm验证系统里面,有多个transaction,之间也没有关联。模块在接收到不同transaction传输的数据,返回的数据也是不一样的(有十几个transaction),根据返回的数据选择接下来要发送的transaction。
请问需要如何实现这个系统。是需要创建多个sequencer来控制吗。相对应的对每个transaction设置一个driver和moniter吗?


发表于 2021-3-17 17:43:47 | 显示全部楼层
transaction是一组有一定关联的对象的集合,类似于结构体;driver和monitor是把事务级对象变为信号级的组件。要怎么去实现,你需要理清楚多个transaction是否有共性的对象,还是完全独立的?接口是否一样?类似等

点评

牛  发表于 2021-3-22 16:32
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 23:28 , Processed in 0.013794 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表