在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2395|回复: 1

[原创] UPF仿真VSS口处理

[复制链接]
发表于 2020-1-11 15:25:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近做UPF仿真的时候被VSS接口困扰了。
问题如下: 一般顶层电源口VDD/VSS会在tb里给供电supply_on(VDD, 1) supply_on(VSS,0)
供电之后VDD和VSS在波形里显示是FULL_ON状态,电压值分别为1和0.
然后当我用connect_supply_net链接RAM模型的VDD/VSS口到UPF的供电口上之后,会发现RAM的VDD和VSS的值显示都是高电平,这样RAM模型就会一直输出X态(RAM模型只有在VDD==1,VSS==0时候才正常工作)

求教各位大神,如何处理这个问题。(VSS并不能supply_off这样会导致ISO/PS都被关掉)
发表于 2020-1-11 19:42:17 | 显示全部楼层
或许你对supply_on或者这个$supply_on系统函数理解有误,supply_on的仿真语意是,比如supply_on("VSS", 0)的语意是,如果VSS字符串所代表的supply_port或者supply_net如果电压是0v及以上那么你通过波形查看器抓到的supply_net那根线就是high/1值。再比如supply_on("VSS", 3.3),那么supply_net是大于等于3.3v以上才为high/1值,小于这个门限值就是low/1值。通常认为0v那么supply_net就是low/1值,如果不考虑regulator振荡过程,那么这时候我们tb.v中一般是不用专门写VSS的supply_on的,仿真器默认VSS的supply_net是low/1值。
我是赛宝龙,欢迎关注赛宝龙的微信公众号:赛宝龙。
有疑问我们可以再讨论。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 15:27 , Processed in 0.012853 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表