在线咨询 切换到宽版
eetop公众号 创芯大讲堂 创芯人才网

 找回密码
 注册

手机号码,快捷登录

手机号码,快捷登录

搜全文
查看: 14440|回复: 7

[求助] create_generated_clock的基础性问题

[复制链接]
发表于 2011-10-18 16:36:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

×
问题前提:系统内的clock被迭代生成,例如系统输入时钟source clock为clk, clk输入后被2分频后生成clk2, clk2再被2分频生成clk4, clk2和clk4用做系统大部分电路的驱动时钟.
问题: 约束的写法.我目前采用的是:
create_clock -name clk -period 100 [get_ports Clk10m_In]
set_clock_latency .... [get_clocks clk]
set_clock_uncertainty .... [get_clocks clk]

create_generated_clock -name clk2 -divide_by_2 -source [get_ports Clk10m_In] [get_pins ...../Q]
create_generated_clock -name clk4 -divide_by_4 -source [get_ports Clk10m_In] [get_pins ...../Q]

即主要的约束都加在clk上,而且由clk2二分频的clk4的source clock我也写的是clk(的input pin), 因为man create_generated_clock的时候说的是-source master_pin. 这个master_pin我认为是clk.

以上就是我自己的一点看法,但是不是很确定,查看以往的帖子也没有发现把这个问题说的非常清楚的,所以在这里恳请各位大大指点迷津,多谢多谢~~:-)
发表于 2011-10-18 17:35:42 | 显示全部楼层
都可以啊,
4分频的source clock 既可以在2分频上面,也可以在main clock source上,
都没有关系,

因为是同源clock,都要balance的,   后端注意balance each clock timing即可
回复 支持 反对

使用道具 举报

发表于 2013-1-4 15:46:19 | 显示全部楼层
请参考这本电子书:http://bbs.eetop.cn/thread-285983-1-1.html
回复 支持 反对

使用道具 举报

发表于 2013-3-26 16:31:09 | 显示全部楼层
回复 3# ikey


    楼上你好:
         我有看那本书的第7章。但是有个地方没看懂,就是说generated_clock会继承master clock的latency吗?或者说generated_clock的latency怎么设置啊?有没有实例,或者讲解更详细的地方。
谢谢
回复 支持 反对

使用道具 举报

发表于 2013-3-28 15:18:45 | 显示全部楼层
instA/O---()---instB/Q---()---instC/CK
|--> 3ns <----|---->   2ns       <---|

create_clock -name CKA [get_pin instA/O]
create_generate_clock -name CKB -mast [get_clock CKA]

所以到instC/CK的 latency是 3+2= 5ns,
假設你CKB用CREATE_CLOCK,
就會是2ns
回复 支持 1 反对 0

使用道具 举报

发表于 2013-12-5 15:25:00 | 显示全部楼层
这个问题我看是个纠结的问题啊,同求!!!
回复 支持 反对

使用道具 举报

发表于 2017-7-27 16:23:06 | 显示全部楼层
学习了!感谢!
回复 支持 反对

使用道具 举报

发表于 2018-8-17 15:33:22 | 显示全部楼层
学习了!感谢!
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

手机版| 小黑屋| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 ) |网站地图

GMT+8, 2025-11-5 17:13 , Processed in 2.267889 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表