|
|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
×
问题前提:系统内的clock被迭代生成,例如系统输入时钟source clock为clk, clk输入后被2分频后生成clk2, clk2再被2分频生成clk4, clk2和clk4用做系统大部分电路的驱动时钟.
问题: 约束的写法.我目前采用的是:
create_clock -name clk -period 100 [get_ports Clk10m_In]
set_clock_latency .... [get_clocks clk]
set_clock_uncertainty .... [get_clocks clk]
create_generated_clock -name clk2 -divide_by_2 -source [get_ports Clk10m_In] [get_pins ...../Q]
create_generated_clock -name clk4 -divide_by_4 -source [get_ports Clk10m_In] [get_pins ...../Q]
即主要的约束都加在clk上,而且由clk2二分频的clk4的source clock我也写的是clk(的input pin), 因为man create_generated_clock的时候说的是-source master_pin. 这个master_pin我认为是clk.
以上就是我自己的一点看法,但是不是很确定,查看以往的帖子也没有发现把这个问题说的非常清楚的,所以在这里恳请各位大大指点迷津,多谢多谢~~:-) |
|