在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4872|回复: 5

[原创] 用create_generated_clock时,其-source是否只能为主时钟,不能为另一个子时钟

[复制链接]
发表于 2010-2-23 17:05:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用create_generated_clock创建子时钟,看到一篇文章讲,子时钟的-source只能是主时钟,不能是另一个子时钟。而在一篇文章中,由于需要对DDR进行约束,文章中创建了如下时钟:
create_clock -period $_period -name clkin [get_ports clkin]

# Create the cascaded clock
create_generated_clock \
-name dqsclk_steer0 \
-source [get_attribute[get_clocks clkin] sources] \
-divide_by 1 \
-master_clock clkin \
-add [get_pins dqsand/A2]

create_generated_clock \
-name dqsoutclk \
-source [get_attribute [get_clocks dqsclk_steer0] sources] \
-divide_by 1 \
-master_clock dqsclk_steer0 \
-add \
[get_ports dqs]

它在创建第二个子时钟时,以第一个子时钟dqsclk_steer0作为source,这种约束是否可行?会带来什么问题?
发表于 2010-3-17 22:02:46 | 显示全部楼层
-source 选项只能为源时钟,楼主提到的这种方法倒是从没用过,不知是否可行,回头试试再说。
发表于 2010-3-29 16:48:20 | 显示全部楼层
有这样的说法吗?只能是主时钟吗
发表于 2010-3-29 21:51:04 | 显示全部楼层
可以是上一级时钟,而且是推荐这种设置方法,不要求必须是主时钟
发表于 2010-9-24 22:31:31 | 显示全部楼层
-source [get_attribute [get_clocks dqsclk_steer0] sources] \
这句话不就是获得上一级时钟的SOURCE时钟吗???所以楼上的说的不对
发表于 2010-10-16 23:29:51 | 显示全部楼层
纠结于这种问题啊,望达人详解……
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-3 12:29 , Processed in 0.022000 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表