在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (80) |订阅

IC验证资料 今日: 6 |主题: 3515|排名: 13 

公告 公告: 大附件建议上传在云盘,然后分享链接(可以自行设定下载积分) jackzhang 2024-2-27    
全局置顶 隐藏置顶帖 ISSCC2024 Session Digest PPT Short-Course  ...23456 james2007 2024-2-23 5430668 ronyeh 3 天前
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 814797 hzmscut 2024-5-24 16:35
全局置顶 隐藏置顶帖 完成资料下载,奖励300信元 | 忆阻器、存算一体芯片白皮书、解决方案文案、培... attach_img  ...2 论坛管理员-1 前天 17:21 18414 omnik 昨天 17:42
      
Latest OVC Compliance Checklist attachment rickyice 2009-9-20 02030599 rickyice 2009-9-20 20:28
[资料] HSpice2009.09_SP1 For Win+Spiceexplorer 2009.09+破解文档+详细安装方法 attach_img  ...23456..139 heimareed 2010-4-10 1388130005 SOURCREAM 2024-1-22 15:25
[资料] 最新modelsim 10.0c 32\64\linux版本及其破解文件 attach_img  ...23456..100 jakeguo 2011-8-21 994122833 william_vp 2022-3-30 12:42
[原创] UVM+VCS+Verdi基本平台搭建: attach_img digest  ...23456..60 9065574912 2015-4-1 598119676 伪界 5 天前
[资料] 转一篇华为内部的关于IC验证的经验总结 attachment  ...23456..72 马蹄山总统套房 2010-11-6 71491752 shuaishuai666 2024-5-12 12:07
[资料] SystemVerilog、SystemC、UVM 语法高亮(gvim) attachment  ...23456..44 sinva 2011-6-1 43591433 steven_sun 2024-3-5 19:55
[资料] SystemVerilog验证 测试平台编写指南.zip attach_img  ...23456..76 wind_vip 2013-9-11 75983894 jjm_997 2023-1-24 09:15
[原创] 稍作整理后的多年工作笔记 attach_img digest  ...23456..65 janlesion 2020-2-2 64580253 jwfan 12 小时前
[原创] perl语言在IC设计中的应用,很有针对性哦! attachment  ...23456..75 lailee 2010-4-4 74777322 sutaotao2001 2024-3-31 23:41
[资料] 系统芯片(SOC)验证方法与技术(中文版) attachment  ...23456..41 eagle654321 2011-7-9 40569665 品博锦取_2021 2023-11-17 11:12
[转贴] 使用vcs仿真sv时,怎么写makefile attachment  ...23456..35 张曼玉 2009-12-30 34069715 tvman2010 2021-10-29 16:35
[原创] 重发《The UVM Primer》中文翻译, 附上下载的源码和一点读后感 attachment digest  ...23456..40 ajzcr 2016-8-17 39169375 hclin 2024-3-31 01:42
好书分享:基于systemverilog语言验证方法学(中文) attachment  ...23456..69 dreams2084 2008-10-26 68666884 jiaofufu 2024-3-31 23:34
[原创] 经验分享:如何在官网下载各个版本的modelsim和questasim  ...23456..13 feiying_cq 2010-7-10 12065743 zhangq062336 2022-4-23 12:15
[资料] The UVM Primer[中文版] attachment  ...23456..37 ajzcr 2016-2-1 36365595 longfeix86 2024-3-18 10:08
和大家一起分享SystemVerilog的不错中文资料!源代码!! attachment  ...23456..61 pkulafang 2009-8-21 60364895 ZHOUSHUNMIN 2023-4-1 12:08
[资料] The UVM Primer - Ray Salemi attachment  ...23456..30 eemms20 2015-3-14 29664595 cmmjava 2023-11-20 01:27
[资料] questasim_10.2c_win32+win64(with crack)论坛版 attachment  ...23456..56 cloudiesky 2014-7-13 55664185 judement 2024-5-6 16:42
[资料] 【mentor最新功能验证软件questasim10.1b windows与linux安装包与安装过程】 attach_img  ...23456..35 lizxgg 2012-5-31 34764048 kangliyu 2021-10-17 21:26
[资料] 分享formal verification电子书 attachment  ...23456..79 kang.kang 2019-8-23 78063559 hj07083014 3 天前
[原创] VCS+UVM+VERDI+Makefile 验证环境 attachment  ...23456..27 yzt000000 2015-9-26 26063040 shuaishuai666 2024-5-12 15:12
[资料] VCS 经典教程 attachment  ...23456..36 hustest2008 2014-5-11 35262747 renjiaxiang222 2023-11-16 13:58
[资料] VMM for SystemVerilog (夏宇闻 中文版) attachment  ...23456..69 saintgang 2009-12-16 68962469 eyescheong 2018-10-26 23:10
[资料] 实际的UVM环境分享 2000+行代码 attachment  ...23456..89 空白MAX 2020-1-4 88061793 jwfan 12 小时前
Calibre 的使用手册(中英文)——图文并貌 attachment  ...23456..50 xy6007 2007-10-22 49661539 shinny 2024-3-12 16:44
[资料] 【重磅推出】step-by-step functional verification with systemverilog and ovm attachment  ...23456..61 bill_syue 2010-6-1 60960851 tu_yjq123 2020-8-22 16:11
[經典好書分享] Writing Testbenches: Functional Verification of Hdl Models attachment  ...23456..48 fong1212 2007-11-9 47258000 durbin 2021-9-9 18:26
[资料] UVM快速学习教程 attachment  ...23456..37 sky_one 2012-5-30 36556656 Lavender888 2024-5-8 17:24
[资料] modelsim 10.0c linux attachment  ...23456..23 jakeguo 2011-8-22 22956595 peterlook1 2024-2-11 04:13
[转贴] 下载UVM书籍A Practical Guide to Adopting the Universal Verification Methodology attachment  ...23456..37 predaking 2011-7-21 36255873 Wesley2014 2022-5-22 14:03
[资料] 论坛里面好像没有《systemVerilog Assertion应用指南》,特来发一个 attachment  ...23456..32 lioncrude 2013-10-10 31555195 qwe9991 2023-12-18 20:36
一步一步让你学会VMM与OVM attachment digest  ...23456..44 xiaoxiaoyuwts 2009-11-22 43554909 vsop 2020-3-21 12:13
[资料] 《UVM实战》配套源代码 attachment  ...23456..28 cctabps 2016-12-20 27052843 jiaofufu 2024-3-31 23:35
[资料] nanosim全集 nanosim-vcs数模混仿 nanosim视频 nanosim 教程.txt attachment  ...23456..28 lshrrr 2009-12-27 27852687 ttuan 2022-10-28 18:15
[原创] 【原创】VCS_vE-2011.03 [老的lic可用,已测试,包含linux32和amd64] [15MB] attachment  ...23456..36 liude19832006 2011-7-15 35552277 spk23556 2019-12-30 05:11
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-1 19:59 , Processed in 0.027272 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块