在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7065|回复: 10

[求助] vcs解析后在vcs中找不到WORK

[复制链接]
发表于 2018-12-10 22:34:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
synopsys_sim.setup设置如下:
-- Default workspace (Current dir)
---------------------------------------------------------------
WORK                            > DEFAULT
DEFAULT                         : ./work


1. vlogan -work DEFAULT -full64 -sverilog -f filelist_verilog.v(包含glbl.v和sim_tb_top) +incdir+../../../imports
2. vhdlan -work DEFAULT -full64 -f filelist_vhdl.vhdl -l vhdl.log
3. vcs -full64 -fsdb   WORK.glbl WORK.sim_tb_top -l vcs.log
第三部 vcs 找不到 WORK.glbl WORK.sim_tb_top ,哪里有问题 ?
发表于 2018-12-11 09:22:59 | 显示全部楼层
正确的是DEFAULT.glbl和DEFAULT.sim_tb_top。
 楼主| 发表于 2018-12-11 14:18:37 | 显示全部楼层
本帖最后由 american007 于 2018-12-11 14:34 编辑

请教楼上,现在就弄了个最简单的文件led_test.v如下,换DEFAULT还是不行
led_test.v

`timescale 1ns / 1ps
module led_test (
                  sys_clk ,
                  rst_n,         
                  led            
             );
input sys_clk;
input rst_n;
output [3:0] led;

reg [31:0] timer;                  
reg [3:0] led;

  always @(posedge sys_clk or negedge rst_n)   
    begin
      if (~rst_n)                           
          timer <= 0;                       
      else if (timer == 32'd199_999_999)   
          timer <= 0;                     
      else
            timer <= timer + 1'b1;           
    end

  always @(posedge sys_clk or negedge rst_n)   
    begin
      if (~rst_n)                          
          led <= 4'b0000;                          
      else if (timer == 32'd49_999_999)   
          led <= 4'b0001;                  
      else if (timer == 32'd99_999_999)   
          led <= 4'b0010;                  
      else if (timer == 32'd149_999_999)   
          led <= 4'b0100;                                       
      else if (timer == 32'd199_999_999)  
          led <= 4'b1000;                     
    end   
endmodule
先用命令 vlogan -full64 -work DEFAULT  led_test.v ,
[lq@simuhost simu_test3]$ vlogan -full64 -work DEFAULT led_test.v

Warning-[MXIR-W] VCS-MX build is required
  Please make sure that vlogan is from the intended build.

                         Chronologic VCS (TM)
         Version L-2016.06_Full64 -- Wed Dec 12 14:40:33 2018
               Copyright (c) 1991-2016 by Synopsys Inc.
                         ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.

Parsing design file 'led_test.v'
CPU time: .052 seconds to compile
上面有个 报警 ?

得到下图
z1.PNG
得到上面内容,对吗 ?是不是不太对,work目录里就一个AN.DB文件夹,AN.DB里就是 上图那一堆,
里面 也没有个啥叫led_test的文件,然后vcs -full64 DEFAULT.led_test,得到错误提示
[lq@simuhost simu_test3]$ vcs -full64 DEFAULT.led_test
                         Chronologic VCS (TM)
         Version L-2016.06_Full64 -- Wed Dec 12 14:25:12 2018
               Copyright (c) 1991-2016 by Synopsys Inc.
                         ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.


Error-[SFCOR] Source file cannot be opened
  Source file "DEFAULT.led_test" cannot be opened for reading due to 'No such
  file or directory'.
  Please fix above issue and compile again.  

1 error
CPU time: .087 seconds to compile
发表于 2018-12-11 15:39:38 | 显示全部楼层
回复 3# american007


   你安装的是VCS,而不是VCS-MX。去装个VCS-MX吧。还有回复问题的时候要在我的回复下面点回复,要不我看不到
发表于 2018-12-11 15:41:16 | 显示全部楼层
回复 3# american007


   对了,你前面那个应该是work的,不需要改成default,我看错了
 楼主| 发表于 2018-12-11 18:59:38 | 显示全部楼层
本帖最后由 american007 于 2018-12-11 19:03 编辑

回复 4# 七点班车
up0.PNG
如上图所示,我装的是vcs_mx,无论改不改成default,在vcs中找不到在vlogan或vhdlan下 解析的文件,请教下:映射库的正确方法是怎么弄,你那是怎么映射库的 ?
发表于 2018-12-11 23:19:00 | 显示全部楼层
回复 6# american007


   你which vcs,看看出来什么信息
 楼主| 发表于 2018-12-12 09:31:26 | 显示全部楼层
本帖最后由 american007 于 2018-12-14 06:40 编辑

回复 7# 七点班车
w2.PNG
这个信息,都是按说明书来的,咋就不行呢 ?
 楼主| 发表于 2018-12-14 06:43:02 | 显示全部楼层
本帖最后由 american007 于 2018-12-14 06:58 编辑

坛子里有哪位知道吗 ?研究了下说明书,也没发现使用不一致的地方 ?
帮我看一下,请吃大餐
发表于 2020-9-7 21:01:56 | 显示全部楼层
本帖最后由 city1992 于 2020-9-7 21:07 编辑

挖坟请见谅,我也出现同样问题,已解决。
当初网上搜问题只发现这么一个帖子是相关的,给出我的问题及解决方法,以供参考。

问题出在VCS_HOME环境变量的设置上,确认方法是输入vlogan -help,如果提示VCS_HOME可能设置错误那就基本八九不离十了。我的VCS_HOME原先设置成vcs的安装路径,把它改为vcs-mx的目录即可(我是在.bashrc里用export命令添修改的)下图为修改完毕的结果,具体路径得自己确认下,前提是已经装了vcs-mx

993e54bdda79171ddfdf275456b29c7.png
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 10:53 , Processed in 0.030680 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表