在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2997|回复: 7

[求助] kc705的使用问题

[复制链接]
发表于 2018-1-13 10:42:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人小白,写了一个让led每隔一秒闪烁的程序,用vivado2015.4下载到kc705板子上led只是一直亮,不闪烁。同样的源程序我放到ISE下载到另一个黑金的板子上好使。求各位大神指点一下,我的问题可能出在哪里?是vivado上的xdc约束文件写的不对?还是kc705板子的配置有问题?还有,有没有可以测试板子是否好使的代码?我在官网找到的都是一些关于DDR3,PCIe,HDMI的,对于我这种小白来说太难,而且有些是在2014版本上写的,在2015.4上需要更新IP核,而我又不懂具体要怎么修改。下面是我闪烁led的代码




  1. module led_1(
  2.     input clk,
  3.     input reset_n,
  4.     output reg out
  5.     );
  6. //(*keep = "TRUE"*)reg [27:0] count;
  7. reg [27:0] count;
  8. always @ (posedge clk or negedge reset_n)
  9. begin
  10.     if(!reset_n) begin
  11.         count <= 28'd0;
  12.         out <= 1'b1;
  13.     end
  14.     else begin
  15.         case(count)
  16.             28'd200_000_000:begin
  17.                 out <= ~out;
  18.                 count <= 28'd0;            
  19.             end
  20.             default:count <= count + 28'd1;
  21.         endcase
  22.     end   
  23. end
  24. endmodule


复制代码

这是我自己写的xdc文件:




  1. set_property PACKAGE_PIN AD12 [get_ports clk]
  2. set_property IOSTANDARD LVCMOS18 [get_ports clk]
  3. create_clock -period 5.000 [get_ports clk]

  4. set_property PACKAGE_PIN AB7 [get_ports reset_n]
  5. set_property IOSTANDARD LVCMOS15 [get_ports reset_n]

  6. set_property PACKAGE_PIN E18 [get_ports out]
  7. set_property IOSTANDARD LVCMOS25 [get_ports out]


复制代码

这是我整个工程文件
project_led_2.zip (1.85 MB, 下载次数: 4 )
恳求各位大神指点
发表于 2018-1-13 13:47:08 | 显示全部楼层
先用示波器看一下out 信号对不对吧
 楼主| 发表于 2018-1-13 17:17:55 | 显示全部楼层
本帖最后由 ddeasw 于 2018-1-13 17:45 编辑

回复 2# YYFFLLMMNN
板上led的正面和背面都是贴片封装,请问像这种全是贴片封装,应该怎么测波形呢?
发表于 2018-1-15 19:52:03 | 显示全部楼层
1. 检查信号的管脚约束;
2. 检查输入时钟是否正常;
3. 按复位键试一下
发表于 2018-1-16 16:59:22 | 显示全部楼层
时钟频率一样不,闪烁太快的话,肉眼分辨不出来
 楼主| 发表于 2018-1-16 21:13:43 | 显示全部楼层
回复 4# ydfq128


按复位键我试过,没用。管脚约束,管脚我是按照官方的文档分配的,板上的时钟是200MHz,只有create那句是我自己写的,不知道我这么写对不对;请问检查输入时钟应该怎么检查呢?
板子我自己用官方的BIST文档测过,那里面测试的时候led可以实现流水灯,但是官方的测试文档中的项目测试板上各个部分的所有功能,包含多个IP核,看不懂。本来想能不能从官方测试文档中找到实现流水灯的方法,但是太复杂,没找到。
发表于 2018-1-16 22:10:27 | 显示全部楼层
用chipscope看看就可以了
发表于 2018-1-19 11:46:09 | 显示全部楼层
kc705的按键输入是负脉冲吗?如果是正脉冲你的复位键就错了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 03:29 , Processed in 0.027458 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表