在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 19658|回复: 80

【原创】我的锁相环仿真(源程序与仿真结果)

[复制链接]
发表于 2008-3-2 19:46:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
锁相环在通信中使用非常普遍,经常看到关于锁相环仿真的帖子。今天正好周末有点时间,自己做了一下仿真,发出来相互讨论,不妥之处请大家多多指教。

QQ:22069605

频偏:-60Hz
相偏:在0--2*pi内随机分布

程序及结果如下:
clear all;
close all;
%定义锁相环的工作模式:单载波为“1”、BPSK调制为“2”、QPSK调制为“3”
PLL_Mode = 1;
%仿真数据长度
Simulation_Length=1000;
%基带信号
if PLL_Mode == 1
I_Data=ones(Simulation_Length,1);
Q_Data=I_Data;
else if PLL_Mode == 2
I_Data=randint(Simulation_Length,1)*2-1;
Q_Data=zeros(Simulation_Length,1);
else
I_Data=randint(Simulation_Length,1)*2-1;
Q_Data=randint(Simulation_Length,1)*2-1;
end
end
Signal_Source=I_Data + j*Q_Data;
%载波信号
Freq_Sample=2400;%采样率,Hz
Delta_Freq=-60; %频偏,Hz
Time_Sample=1/Freq_Sample;
Delta_Phase=rand(1)*2*pi; %随机初相,Rad
Carrier=exp(j*(Delta_Freq/Freq_Sample*(1:Simulation_Length)+Delta_Phase));
%调制处理
Signal_Channel=Signal_Source.*Carrier';
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
%以下为锁相环处理过程
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
%参数清零
Signal_PLL=zeros(Simulation_Length,1);
NCO_Phase = zeros(Simulation_Length,1);
Discriminator_Out=zeros(Simulation_Length,1);
Freq_Control=zeros(Simulation_Length,1);
PLL_Phase_Part=zeros(Simulation_Length,1);
PLL_Freq_Part=zeros(Simulation_Length,1);
%环路处理
C1=0.022013;
C2=0.00024722;
for i=2:Simulation_Length
Signal_PLL(i)=Signal_Channel(i)*exp(-j*mod(NCO_Phase(i-1),2*pi));
I_PLL(i)=real(Signal_PLL(i));
Q_PLL(i)=imag(Signal_PLL(i));
if PLL_Mode == 1
Discriminator_Out(i)=atan2(Q_PLL(i),I_PLL(i));
else if PLL_Mode == 2
Discriminator_Out(i)=sign(I_PLL(i))*Q_PLL(i)/abs(Signal_PLL(i));
else
Discriminator_Out(i)=(sign(I_PLL(i))*Q_PLL(i)-sign(Q_PLL(i))*I_PLL(i))...
/(sqrt(2)*abs(Signal_PLL(i)));
end
end
PLL_Phase_Part(i)=Discriminator_Out(i)*C1;
Freq_Control(i)=PLL_Phase_Part(i)+PLL_Freq_Part(i-1);
PLL_Freq_Part(i)=Discriminator_Out(i)*C2+PLL_Freq_Part(i-1);
NCO_Phase(i)=NCO_Phase(i-1)+Freq_Control(i);
end
%画图显示结果
figure
subplot(2,2,1)
plot(-PLL_Freq_Part(2:Simulation_Length)*Freq_Sample);
grid on;
title('锁相环频率响应曲线');
axis([1 Simulation_Length -100 100]);
subplot(2,2,2)
plot(PLL_Phase_Part(2:Simulation_Length)*180/pi);
title('锁相环相位响应曲线');
axis([1 Simulation_Length -2 2]);
grid on;
%设定显示范围
Show_D=300; %起始位置
Show_U=900; %终止位置
Show_Length=Show_U-Show_D;
subplot(2,2,3)
plot(Signal_Channel(Show_D:Show_U),'*');
title('进入锁相环的数据星座图');
axis([-2 2 -2 2]);
grid on;
hold on;
subplot(2,2,3)
plot(Signal_PLL(Show_D:Show_U),'r*');
grid on;
subplot(2,2,4)
plot(Signal_PLL(Show_D:Show_U),'r*');
title('锁相环锁定及稳定后的数据星座图');
axis([-2 2 -2 2]);
grid on;

figure
%设定显示范围
Show_D=300; %起始位置
Show_U=350; %终止位置
Show_Length=Show_U-Show_D;
subplot(2,2,1)
plot(I_Data(Show_D:Show_U));
grid on;
title('I路信息数据');
axis([1 Show_Length -2 2]);
subplot(2,2,2)
plot(Q_Data(Show_D:Show_U));
grid on;
title('Q路信息数据');
axis([1 Show_Length -2 2]);
subplot(2,2,3)
plot(I_PLL(Show_D:Show_U));
grid on;
title('锁相环输出I路信息数据');
axis([1 Show_Length -2 2]);
subplot(2,2,4)
plot(Q_PLL(Show_D:Show_U));
grid on;
title('锁相环输出Q路信息数据');
axis([1 Show_Length -2 2]);

对纯载波跟踪的结果(PLL_Mode = 1):

[ 本帖最后由 zhaish 于 2008-3-2 19:52 编辑 ]

对纯载波跟踪的结果(PLL_Mode = 1)

对纯载波跟踪的结果(PLL_Mode = 1)
 楼主| 发表于 2008-3-2 19:47:33 | 显示全部楼层
对BPSK信号的跟踪(PLL_Mode = 2):

对BPSK信号的跟踪(PLL_Mode = 2)

对BPSK信号的跟踪(PLL_Mode = 2)
 楼主| 发表于 2008-3-2 19:49:14 | 显示全部楼层
对BPSK信号的跟踪解调数据(PLL_Mode = 2):

对BPSK信号的跟踪解调数据(PLL_Mode = 2)

对BPSK信号的跟踪解调数据(PLL_Mode = 2)
 楼主| 发表于 2008-3-2 19:50:29 | 显示全部楼层
对QPSK信号的跟踪(PLL_Mode = 3):
4.jpg
 楼主| 发表于 2008-3-2 19:51:27 | 显示全部楼层
对QPSK信号的跟踪解调数据(PLL_Mode = 3):

对QPSK信号的跟踪解调数据(PLL_Mode = 3)

对QPSK信号的跟踪解调数据(PLL_Mode = 3)
发表于 2008-4-25 11:25:49 | 显示全部楼层
赞~~ 好东西 谢谢楼主
发表于 2008-5-7 15:45:29 | 显示全部楼层
研究研究
发表于 2008-6-8 22:29:50 | 显示全部楼层
超级好!!!我是小菜鸟,想问下楼主,锁相环的仿真一般用什么软件?
发表于 2008-6-9 02:02:27 | 显示全部楼层
楼主能不能给出C1,C2的计算公式?
发表于 2008-6-12 19:46:43 | 显示全部楼层
好呀!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 13:03 , Processed in 0.052011 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表