在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2341|回复: 5

[求助] 关于FPGA的LVDS接口问题

[复制链接]
发表于 2020-6-1 01:32:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
部分FPGA是支持L号输入的,而LVDS是存在数据和时钟两条线路。那么问题来了,FPGA内部在LVDS的时钟沿采数据,后面又是如何同步到FPGA自身的时钟呢。如果两个时钟频率不同的话,求助,谢谢
发表于 2020-6-1 07:44:17 来自手机 | 显示全部楼层
可以考慮使用非同步FIFO。
发表于 2020-6-1 09:34:41 | 显示全部楼层
LVDS 的频率是所少?
发表于 2020-6-1 16:16:16 | 显示全部楼层
L号还包括时钟信号?不就是一对差分信号吗?
 楼主| 发表于 2020-6-1 20:54:31 | 显示全部楼层
本帖最后由 nm2012 于 2020-6-1 20:55 编辑


abeey 发表于 2020-6-1 09:34
LVDS 的频率是所少?


输出时钟400M,数据DDR输出,后期可能时钟要升到2.5G
 楼主| 发表于 2020-6-3 01:05:11 | 显示全部楼层


爱上_在路上 发表于 2020-6-1 16:16
L号还包括时钟信号?不就是一对差分信号吗?


要是只是一对差分信号,FPGA怎么知道出来的数据bps是多少呢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 21:35 , Processed in 0.021404 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表