在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1929|回复: 7

[求助] 不同模式下怎么进行约束

[复制链接]
发表于 2018-12-14 22:26:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在写dc约束的时候,如果对应不同模式下,输入之间、输入和输出的关系不同该怎么进行约束?比方mode1时:clk1与clk2频率为倍数关系,且有相位差,pad1为输出端口,ouput1与clk2的边缘有a ns延时;mode2时,clk1与clk2频率为倍数关系,同相,pad1为输入端口,且与clk1、clk2有关,output1与clk1(2)的边缘有b ns延时。
不知道像这种该怎么约束,听说可以不同模式生成多个sdc文件,这个是什么意思?
发表于 2018-12-18 23:01:47 | 显示全部楼层
可以同一个点create多个clk
 楼主| 发表于 2018-12-19 16:25:29 | 显示全部楼层
回复 2# 七点班车


   不太清楚你说的在同一个port约束多个create clk什么意思,这不是约束的{clk}吗,这样约束会被覆盖掉吧?   我想约束的并不是clk端口,而是interface的一个输入输出口{output1},怎么区别不同mode之间的关系呢?
发表于 2018-12-20 13:45:34 | 显示全部楼层
回复 3# flag易
create_clock -add 了解一下
发表于 2018-12-22 09:38:13 | 显示全部楼层
不同模式下clk1和clk2控制的模块都工作么?
 楼主| 发表于 2018-12-22 14:31:05 | 显示全部楼层
回复 5# 吴静生


   是的,一个是系统时钟,一个是接口读取的时钟,两个模式下时钟相位差不一样,其中一种模式接口读取的时钟可以stop,而另一种不行。
发表于 2018-12-24 09:55:13 | 显示全部楼层
 楼主| 发表于 2018-12-25 13:52:59 | 显示全部楼层
关于output怎么设置的我找到之前的一个帖子
http://bbs.eetop.cn/thread-318534-1-1.html
这个是output怎么设置的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 17:15 , Processed in 0.023936 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表