在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: 糖糖123

[原创] 在cts阶段减小clock delay(clock tree)

[复制链接]
 楼主| 发表于 2018-11-1 14:48:25 | 显示全部楼层
回复 10# znn04006518


谢谢,   但之前有试过,没多大作用
发表于 2018-11-8 16:35:20 | 显示全部楼层
关于时钟树质量 clock skew clock latency问题,有人在这里解答过了,可以参考下。

http://www.52-ic.com/?p=1109
发表于 2018-11-13 10:45:34 | 显示全部楼层
谢谢分享
发表于 2020-8-8 22:35:50 | 显示全部楼层
谢谢指导
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 20:47 , Processed in 0.020885 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表