在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1872|回复: 1

[求助] uvm Constrained random test

[复制链接]
发表于 2018-8-27 13:12:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我要写一个Constrained random test.

计划是在virtual sequence中产生stimulus

class seq extends uvm_sequence;
...
virtual task body();
  forever begin
      `uvm_do_on_with(req, p_sequencer.mst_agt.sqr, {...})
      // get coverage result from coverage collector
      if (coverage_result > 60)

      // exit forever
      end

如何在uvm_sequence中获取coverage collector的result (get_coverage()如何使用)?
 楼主| 发表于 2018-8-27 20:10:59 | 显示全部楼层
找到办法了,在sequencer中建立一个coverage collector的collector,就可以访问了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 00:22 , Processed in 0.015661 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表