在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2424|回复: 3

[求助] 关于dpi接口的问题求助

[复制链接]
发表于 2017-5-19 11:04:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
求助各位前辈

问题:从C函数返回的结果如果在verilog里被$display出来,那么下次再调用这个C函数的时候输入的变量是随机的。

verilog代码:

module text;
    import "DPI-C" context function int text_cmodel(input bit [255:0] i, output bit [255:0] o);

    bit [255:0] input_for_c;
    bit [255:0] output_from_c;
    initial begin
        input_for_c[2:0] = 1;
        text_cmodel(input_for_c,output_from_c);
        $display("output_from_c = %0d",output_from_c); //这里有个display,经display后,下一次调用text_cmodel的输入结果就是错的,如果去掉这个
                                                                                  //display就完全正常
        input_for_c[2:0] = 1;
        //$display("input_for_c = %0d",input_for_c); //但是在调用text_cmodel前再把C函数的输入display出来,给C函数的输入就是正确的了
        text_cmodel(input_for_c,output_from_c);
        $finish;
    end
endmodule

C 函数:
#include<iostream>
using namespace std;
#include<stdio.h>
#include<svdpi.h>

extern "C"
{
    void text_cmodel(svBitVecVal *text_in, svBitVecVal *text_out)
    {
        svBitVecVal temp;
        int A;
        svGetPartselBit(&temp, text_in, 0, 3);
        A = temp;
        std::cout<< "A = " << A << std::endl;

        A = A + 1;
        temp = A;
        svPutPartselBit(text_out, B, 0, 3);
    }
}

这是出现错误的std::cout 和 $display的打印信息
A = 1
output_from_c = 2
A = 202320081       //两次输入的A都应该是1,但是经过display出output_from_c后第二次调用变成随机数了。

在此先谢过各位了。
 楼主| 发表于 2017-5-19 13:08:22 | 显示全部楼层
发现一个奇怪的现象,第一次调用输入输出都是正常的,第二次调用打印出来的A不对,但是输出是对的。
 楼主| 发表于 2017-6-6 15:10:38 | 显示全部楼层
问题已经解决了,因为verilog里的变量和C++里对应的变量位宽不一样,C++里的变量是32位的,所以在C++里低位是正常的赋值高位是随机数了。虽然不知道为什么打印出来一次就会变好,但原因知道了。
发表于 2017-6-22 15:35:48 | 显示全部楼层
context function不会编译报错么。。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 13:09 , Processed in 0.019655 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表