在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2187|回复: 0

the clock of DDR3 has multiple driver(s)

[复制链接]
发表于 2016-11-13 18:56:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
The design of the top is showing as follows. The software question is logical net 'CLK_OUT' has multiple driver(s). How can I solve the problems ? Thanks  a lot.



module top(
    input clk,
    input rst,



inout[15:0] mcb3_dram_dq,     

output[13:0] mcb3_dram_a,      

output[2:0] mcb3_dram_ba,      

output mcb3_dram_ras_n,   

output mcb3_dram_cas_n,   

output mcb3_dram_we_n,   

output mcb3_dram_odt,     

output mcb3_dram_cke,     

output mcb3_dram_ck,      

output mcb3_dram_ck_n,   

inout mcb3_dram_dqs,     

inout mcb3_dram_dqs_n,   

inout mcb3_dram_udqs,   

inout mcb3_dram_udqs_n,  

//output mcb3_dram_udm,     

output mcb3_dram_dm,      

output mcb3_dram_reset_n
    );


wire CLK_500M,CLK_100M,CLK_100M_TO;
wire LOCKED;
wire LOCK;
wire tx_SERDESSTROBE;
wire CLK_OUT;


my_pll my_pll_ins
   (// Clock in ports
    .CLK_IN1(clk),      // IN
    // Clock out ports
    .CLK_OUT1(CLK_500M),     // OUT

.CLK_OUT2(CLK_100M),     // OUT

.RESET(rst),// IN
    .LOCKED(LOCKED)

);      // OUT

BUFG BUFG_inst (
      .O(CLK_100M_TO), // 1-bit output: Clock buffer output
      .I(CLK_100M)  // 1-bit input: Clock buffer input
   );

BUFPLL #(
.DIVIDE(5)
)
tx_bufpll_inst(
.IOCLK(CLK_OUT),
//OUTPUT
.LOCKED(LOCKED),
//IN
.LOCK(LOCK),
//OUTPUT
.PLLIN(CLK_500M),          //IN
.GCLK(CLK_100M_TO),      //IN
.SERDESSTROBE(tx_SERDESSTROBE)//OUTPUT
);

my_ipcore # (
    .C3_P0_MASK_SIZE(16),
    .C3_P0_DATA_PORT_SIZE(128),
    .DEBUG_EN(0),
    .C3_MEMCLK_PERIOD(3200),
    .C3_CALIB_SOFT_IP("TRUE"),
    .C3_SIMULATION("FALSE"),
    .C3_RST_ACT_LOW(0),
    .C3_INPUT_CLK_TYPE("SINGLE_ENDED"),
    .C3_MEM_ADDR_ORDER("BANK_ROW_COLUMN"),
    .C3_NUM_DQ_PINS(8),
    .C3_MEM_ADDR_WIDTH(15),
    .C3_MEM_BANKADDR_WIDTH(3)
)
u_my_ipcore (

  .c3_sys_clk           (CLK_OUT),
  .c3_sys_rst_i           (rst),                        

  .mcb3_dram_dq           (mcb3_dram_dq),  
  .mcb3_dram_a            (mcb3_dram_a),  
  .mcb3_dram_ba           (mcb3_dram_ba),
  .mcb3_dram_ras_n        (mcb3_dram_ras_n),                        
  .mcb3_dram_cas_n        (mcb3_dram_cas_n),                        
  .mcb3_dram_we_n         (mcb3_dram_we_n),                          

  .mcb3_dram_cke          (mcb3_dram_cke),                          
  .mcb3_dram_ck           (mcb3_dram_ck),                          
  .mcb3_dram_ck_n         (mcb3_dram_ck_n),      
  .mcb3_dram_dqs          (mcb3_dram_dqs),                          
  .mcb3_dram_dqs_n        (mcb3_dram_dqs_n),

  .mcb3_dram_dm           (mcb3_dram_dm),
  .mcb3_dram_reset_n      (mcb3_dram_reset_n),
  .c3_clk0
        (),
  .c3_rst0
        (),

  .c3_calib_done    (),
     .mcb3_rzq               (),  

     .mcb3_zio               (),


     .c3_p0_cmd_clk                          (),
   .c3_p0_cmd_en                           (),
   .c3_p0_cmd_instr                        (),
   .c3_p0_cmd_bl                           (),
   .c3_p0_cmd_byte_addr                    (),
   .c3_p0_cmd_empty                        (),
   .c3_p0_cmd_full                         (),
   .c3_p0_wr_clk                           (),
   .c3_p0_wr_en                            (),
   .c3_p0_wr_mask                          (),
   .c3_p0_wr_data                          (),
   .c3_p0_wr_full                          (),
   .c3_p0_wr_empty                         (),
   .c3_p0_wr_count                         (),
   .c3_p0_wr_underrun                      (),
   .c3_p0_wr_error                         (),
   .c3_p0_rd_clk                           (),
   .c3_p0_rd_en                            (),
   .c3_p0_rd_data                          (),
   .c3_p0_rd_full                          (),
   .c3_p0_rd_empty                         (),
   .c3_p0_rd_count                         (),
   .c3_p0_rd_overflow                      (),
   .c3_p0_rd_error                         ()
);


endmodule
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-19 11:28 , Processed in 0.015668 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表