在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2653|回复: 4

[求助] 请教verilog二分频电路的代码问题

[复制链接]
发表于 2016-9-20 09:26:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我用ISE实现二分频电路,严格按照参考书上输入的代码,为什么综合总是出错呢?错误报告:ERROR:hdlCompiler:806 - "E:\FPGAchengxu\half_clk\half_clk.v" Line 28: Syntax error near "£".ERROR:HDLCompiler:806 - "E:\fpgachengxu\half_clk\half_clk.v" Line 29: Syntax error near "else".
ERROR:HDLCompiler:598 - "E:\fpgachengxu\half_clk\half_clk.v" Line 21: Module <half_clk> ignored due to previous errors.

代码如下:
module half_clk(reset,clk_in,clk_out
    );
input clk_in,reset;
output clk_out;
reg clk_out;
always @ (posedge clk_in)
begin
  if(reset)clk_out<=0;
  else      
  clk_out=~clk_out;
end

endmodule
发表于 2016-9-20 10:07:10 | 显示全部楼层
删了重写看看,有可能有错误符号
发表于 2016-9-20 10:52:36 | 显示全部楼层
回复 1# zhshying


   有非法字符,可能是输入法的原因,每次我都给输入法调到美式键盘,
发表于 2016-9-20 11:03:32 | 显示全部楼层
if(reset)clk_out<= 1'b0;
clk_out <=~clk_out;
发表于 2016-9-20 16:22:40 | 显示全部楼层
书上未必是对的,楼上正解!!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 16:55 , Processed in 0.032157 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表