在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4255|回复: 10

[求助] fpga 添加文件报错

[复制链接]
发表于 2011-1-5 12:51:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
No design units detected in file. 问下FPGA 我在添加代码的时候报这个问题,我咋的解决,能帮忙说下么?
发表于 2011-1-5 13:06:10 | 显示全部楼层
...
什么情况???
发表于 2011-1-5 13:09:55 | 显示全部楼层
你的代码是什么?可否放上内容?
 楼主| 发表于 2011-1-5 13:23:53 | 显示全部楼层
module 123(clkin,clkout,locked
    );
//module 55mhz(clkin,clkout,locked
//    );
         input clkin;
         output clkout,locked;
         wire 55mhz;
         assign clkout = 55mhz;
//mydcm55 inst_55mhzclk (
inst_55mhzclk mydcm55(
    .CLKIN_IN(clkin),
    .CLKFX_OUT(55mhz),
    .CLKIN_IBUFG_OUT(),
    .CLK0_OUT(),
    .LOCKED_OUT(locked)
    );

endmodule
 楼主| 发表于 2011-1-5 13:25:26 | 显示全部楼层
我是个新手,不知道咋的添加dcm到代码里面!哪位有相关信息给看看么??
发表于 2011-1-5 17:10:21 | 显示全部楼层
是不是DCM需要的库你没加?一般在模块最上面有,但是默认的被注释了好像。你看看
Library UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
发表于 2011-1-6 12:49:51 | 显示全部楼层
楼上的,他的代码是verilog,你的添加库的代码是VHDL,不兼容的。楼主是不是在仿真的时候遇到的问题?可能你确实是没有加入FPGA的相关元件库,所以找不到这个DCM,你的例化方式倒是没错。
发表于 2011-1-20 21:53:14 | 显示全部楼层
这个问题几年前遇到过,但忘了是什么原因,你多试试看,能找帮助文件找原因不?
发表于 2011-2-10 18:00:40 | 显示全部楼层
rtl可以由软件中的菜单加入,也可以直接加入脚本中。
发表于 2011-2-12 14:18:08 | 显示全部楼层
在编译软件里还是仿真工具里碰到的问题呢,应该是生成的core没添加进工程里吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 12:28 , Processed in 0.034123 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表