在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4779|回复: 11

[求助] 关于UVM局部uvm_set_verbosity的疑问

[复制链接]
发表于 2017-11-20 14:04:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 gyuek 于 2017-11-20 14:20 编辑

最近需要debug子系统下的某个IP,因此将这个IP的env verbosity设置成了UVM_HIGH(全局默认设置为LOW)。但是最后发现ip env的component对应的uvm high info都能print,但是component下的object只能print low info,
看起来局部的设置对object未起作用。
+uvm_set_verbosity=*ip_module_env*,_ALL_,UVM_HIGH,build,0

component在build phase阶段会new object以及调用object的function做一些设置,主要想看这些配置信息协助debug

各位朋友有遇到过这种情况吗,能否赐教一下,谢谢!
发表于 2017-11-20 22:06:18 | 显示全部楼层
以下的回复 并没有实际验证过,是根据 1800.2-2017上面的描述的 推测的可能,可以自行验证哈;
有可能是他们的 report_handle 不是同一个导致的,一个 testbench 可以由若干个ENV 黏合构成,但是每个env都可以config自己的 verbosity,如果是这样做的 ,那么他们的 report_handle 应该不是同一个;协议中指出可以 使用 set/get_report_handle 来 share report_handle
发表于 2017-11-21 09:35:24 | 显示全部楼层
请问结果如何
 楼主| 发表于 2017-11-22 13:29:45 | 显示全部楼层
回复 2# AnswerLJ


    感谢回复,我上面提到的component是在一个env里,object是在这个component,这样应该都是在同一个env下,   之所以用上面的sim_arg对object不起作用,看起来是object没有hierarchy之说,所以sim_arg的set对其不起作用。
   但是全局的UVM_VERBOSITY看起来对comp和object都起作用。暂时还在try其他方法
发表于 2017-11-23 13:52:30 | 显示全部楼层
回复 1# gyuek

+uvm_set_verbosity=*ip_module_env*.*...  
    有试过么?
 楼主| 发表于 2017-11-24 13:39:52 | 显示全部楼层
回复 5# nativeda


    请问你的意思是说第一个argument换成你说的那样,+uvm_set_verbosity=*ip_module_env*.*...,_ALL_,UVM_HIGH,build,0
 楼主| 发表于 2017-11-24 14:00:00 | 显示全部楼层
回复 5# nativeda


   了解了,就是*.*,后面还是一样,我去试试估计是一样的效果
发表于 2017-11-24 21:07:48 | 显示全部楼层
回复 7# gyuek


   还是get不到?你在simv后面再加一个选项 +UVM_CONFIG_DB_TRACE, 把所有set / get动作都打印出来debug吧
发表于 2017-11-27 12:37:47 | 显示全部楼层
回复 5# nativeda


    这是正解,楼主好好读读相关文档吧
 楼主| 发表于 2017-11-27 15:03:39 | 显示全部楼层
回复 9# 飞扬紫百合

文档对 +uvm_set_verbosity难道还有更详细的描述?5楼的朋友让我尝试将comp这项argument由我原先的*env*改成*env*.*
但事实上两种通配符表达方式都是一样的效果,我也已经尝试过。
看起来+uvm_set_verbosity只会对component起作用,看源码应该是遍历comp下的所有层次,但是component里的object是没有层次概念的(没有所谓的top.compA.objectB这样的层次)
不知上述理解是否有误,另外也请教一下是否还有其他方法,谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 07:03 , Processed in 0.020172 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表