在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3202|回复: 12

[原创] Verilog参数问题??

[复制链接]
发表于 2017-7-4 21:32:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x




  1. ...
  2. output [block_size-1:0] dout_blk;
  3. parameter block_size = 90;
  4. ...


复制代码


                               
登录/注册后可看大图

上面的一小段代码在Vivado中没有问题,仿真也可以,但是我调用ModelSIM来仿真时,结果说没有定义变量block_size。
请问这样不行吗??
发表于 2017-7-5 08:45:38 | 显示全部楼层
把parameter的定义放到前面去
发表于 2017-7-5 08:58:47 | 显示全部楼层
先定义,后使用
 楼主| 发表于 2017-7-5 11:08:54 | 显示全部楼层
回复 2# huster


   哦哦,谢谢,我懂了,看了别人的代码通常是把parameter放在最上面
 楼主| 发表于 2017-7-5 11:12:32 | 显示全部楼层
回复 3# haimo


  谢谢!
发表于 2017-7-5 11:47:30 | 显示全部楼层
参数在顶部会让模块好管理些
发表于 2017-9-30 15:31:35 | 显示全部楼层
先定义,再使用。modelsIM就是这样的。
发表于 2017-10-9 22:38:25 | 显示全部楼层
代码规范很重要的
发表于 2017-10-10 17:49:00 | 显示全部楼层
代码规范还是很重要的,多看看别人写的代码
发表于 2017-10-13 22:28:58 | 显示全部楼层
要先定义parameter
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 14:09 , Processed in 0.030131 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表