在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2316|回复: 7

[求助] 关于FPGA逻辑电路所需要的时钟周期数的计算

[复制链接]
发表于 2017-1-11 18:37:36 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各路大神,本人目前初学FPGA,目前有个疑问,逻辑电路的运行所需要的时间如何计算。比如两个256位的数相加,那么加法所需要的时钟数如何计算?请各位大神指点或者推荐相关的书籍。谢谢
发表于 2017-1-12 19:56:01 | 显示全部楼层
看你加法器是怎么实现的。
发表于 2017-1-13 10:24:58 | 显示全部楼层
如果使用IP核的话会有选择几个时钟周期完成的选项的
 楼主| 发表于 2017-1-14 22:46:23 | 显示全部楼层
回复 2# 越远


   就直接用的语法里面的+,让软件自己去做的
 楼主| 发表于 2017-1-14 22:47:26 | 显示全部楼层
回复 3# rainwerstone


   IP核可以设置,但是如果是自己编写的逻辑电路该如何判断呢?
发表于 2017-1-15 12:59:47 | 显示全部楼层
回复 5# feiyangbaxia

何必这么执着
发表于 2017-1-15 15:22:59 | 显示全部楼层
仿真一下,可以看到,很直观
发表于 2017-1-16 09:25:25 | 显示全部楼层
回复 4# feiyangbaxia


   这样子实现是一个时钟周期。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 10:54 , Processed in 0.024414 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表