在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: doogo

[原创] 《UVM实战》24小时问答

[复制链接]
发表于 2016-8-25 13:44:28 | 显示全部楼层
强哥?买了这本书 感觉不错正在研读中!
发表于 2016-8-25 13:49:02 | 显示全部楼层
卷2与卷1的区别是什么?
发表于 2016-9-14 22:28:09 | 显示全部楼层
while(!top_tb.rst_n)
      @(posedge top_tb.clk);
   for(int i = 0; i < 256; i++)begin
      @(posedge top_tb.clk);
      top_tb.rxd <= $urandom_range(0, 255);
      top_tb.rx_dv <= 1'b1;
      `uvm_info("my_driver", "data is drived", UVM_LOW);
      $display(" ===== %d",i);
   end
   @(posedge top_tb.clk);
   top_tb.rx_dv <= 1'b0;
大家知道这代码描述的意思是什么吗,看波形和自己想的不一样,尤其是 while(!top_tb.rst_n)
      @(posedge top_tb.clk);
这句话
发表于 2016-9-17 11:35:07 | 显示全部楼层
怎么打开呀?
发表于 2016-9-20 11:17:07 | 显示全部楼层
你好,在看UVM实战时遇到个问题就是:类的实例化一般不都是在build_phase中完成吗?为什么2.4.2节中的sequence的实例化却一定要在sequencer的main_phase中呢。
发表于 2016-9-29 15:05:50 | 显示全部楼层
受教了!
发表于 2016-10-3 10:04:40 | 显示全部楼层
回复 1# doogo

请问我在6.6.3节wait_modified的使用中代码清单6-87,看到void'(uvm_config_db#(bit)::get(this,"","cmp_en"cmp_en));
请问这里加void是有什么作用?加和不加有什么区别?
发表于 2016-10-3 18:37:59 | 显示全部楼层
回复 337# pink丽丽
因为uvm_config_db::get function是返回值是int,如果你调用时不想要返回值就要用void进行类型转换,否则会报warning
发表于 2016-10-6 14:44:45 | 显示全部楼层
回复 261# sepathy@163.com


   你现在懂了吗?我也遇到这个问题了。想了好久都没想通。。求教啊。。
发表于 2016-10-12 15:40:07 | 显示全部楼层
不错的书
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条


小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-7 04:42 , Processed in 0.023880 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表