在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4353|回复: 10

[求助] modelsim10.0c + uvm-1.1d源码仿真工程搭建问题求指教

[复制链接]
发表于 2016-3-2 10:53:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
正在学习张强的《UVM 实战》,学到2.2.4遇到了问题,加入virtual interface 这里,用的win10 32,modelsim10.0c + uvm-1.1d源码。
编译通过了
run1.png
但是在跑的时候遇到了问题,
run.png

你们遇到这个问题没有,如何处理?

在win10 32,modelsim10.0c + uvm-1.1d源码运行时,例子的源码需要小小的修改,我的学习笔记在Github上。
本人初学者,欢迎交流讨论!!!
https://github.com/kippy620/uvm
compile.png
run.png
run1.png
compile.png
发表于 2016-3-7 09:10:00 | 显示全部楼层
WINDOWS下就用questasim吧,<installer>/uvm_1.1d/winXX/目录下就已经包含了编译好的uvm_dpi.dll不需要自己编译,不知道你这自己编译的是不是有问题。
 楼主| 发表于 2016-3-7 09:46:56 | 显示全部楼层
回复 2# AnswerLJ

恩恩,好的,考虑换下,就是前期用modelsim运行hello_word是可以的,加入driver,factory机制和objectin机制都通过了,但是加入virtual interface失败了,
发表于 2016-3-16 06:58:25 | 显示全部楼层
回复 1# kippy


   lz我最近也在学这本书 加个qq一起探讨下?
 楼主| 发表于 2016-4-11 17:02:11 | 显示全部楼层
回复 4# fgg1991


    好的,你学的怎么样了,我学卡壳了
发表于 2016-4-12 05:22:18 | 显示全部楼层
我的建议是把questasim或者modelsim 版本升上去 你的太老了。
发表于 2016-6-28 13:44:07 | 显示全部楼层
发表于 2016-7-5 05:52:06 | 显示全部楼层
回复 5# kippy


   sb了 论坛竟然没给我发提示 以为你没看到呢 hanaiying1991@sina.com 给我发邮件联系吧
发表于 2016-7-19 23:10:13 | 显示全部楼层
回复 4# fgg1991


   可否加qq大家一起聊一下呢?我研二了,接触这个一直入不了门。。很惆怅。。。。214763854,真的求有人指导,花钱点也想。。。
发表于 2016-7-20 13:16:32 | 显示全部楼层
回复 9# liwing1009


   已发qq好友申请
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 22:39 , Processed in 0.022949 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表