在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: doogo

[原创] 《UVM实战》24小时问答

[复制链接]
发表于 2016-2-6 10:48:45 | 显示全部楼层
下载啊,链接
发表于 2016-3-9 09:30:48 | 显示全部楼层
thanks !!!!!!!!!!!!!!
发表于 2016-3-14 09:52:41 | 显示全部楼层
set_id_info这条语句是什么意思?
具体的用法能详细说一下吗??
望楼主及时回复。。。。
谢谢
发表于 2016-3-17 19:30:47 | 显示全部楼层
有些小疑惑请教
执行代码时,2.2.1节的代码跑出来的log里,data is drived的时间分别是100ns、300ns。。。
而2.2.2/3里,data is drived的时间分别是1300ns、1500ns。。。
我打印了下rst_n,发现2.2.1里rst_n是x,而2.2.3里rst_n是0
这中间的差别就在于一个是drv.main_phase,另一个是run_test("my_driver"),为什么会造成上面的问题呢?
发表于 2016-3-19 11:54:31 | 显示全部楼层
买了UVM实战,这本书,现在有些问题要问,请看下面代码
各位验证高手,代码如下:


class test1 extends uvm_test;


`uvm_component_utils(test1);

env t_env;

function new (string name="test1", uvm_component parent=null);
super.new (name, parent);
t_env = new("t_env",this);
endfunction : new

问题:
类型 uvm_component parent 为什么是 null?之后又 调用父类 super构造函数
super.new (name, parent) 这里面的parent是空NULL吗?我的理解调用父类构造函数new,其参数parent=NULL,为什么这里给
要赋给NULL?什么条件下给NULL?
发表于 2016-3-19 19:57:58 | 显示全部楼层
回复 305# mil818

parent null是默认值
new时传进去的不是this么 表示当前的类是你new的这个类的parent
使用时都会有值给进去,只有最顶层的parent才是null
发表于 2016-3-20 10:01:40 | 显示全部楼层
回复 306# grow


    谢谢!!!
发表于 2016-3-20 10:05:15 | 显示全部楼层
本帖最后由 mil818 于 2016-3-20 10:06 编辑

请教个简单的new funciton parameter setting 问题
~~~~~~~~~~~~~
class my_component extends uvm_component;
function new(string name = "my_component", uvm_component parent = null);
super.new(name, parent);
endfunction
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
class my_component extends uvm_component;
function new(string name = "my_component", uvm_component parent );
super.new(name, parent);
endfunction

在括号里的parent 参数,专门指定parent NULL 和不指定 result 有什么不同?
发表于 2016-3-21 15:44:58 | 显示全部楼层
great job !!!
发表于 2016-3-26 23:58:05 | 显示全部楼层
非常好的书,现在也正在研究源码中,希望如果有卷二的话,能把源码里面各个子类的目的及各个函数的设计初衷介绍一下。现在自己在看源码,感觉很多函数都不知道具体是为了完成什么目的去做的,期待卷二的源码大作分析。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-12-19 01:56 , Processed in 0.022568 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表