在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: istart_2002

[求助] LDO产生的电压能给自己供电么?

[复制链接]
发表于 2015-8-31 12:24:07 | 显示全部楼层
hszgl 2013-5-4 19:03

您是前辈,且是版主,我很敬重您,也不想和您起什么矛盾。但是您对我的指责我完全无法接受。首先,您看过几篇我的帖子?从何得出我说话总是很冲?其次我1月份发的贴你到5月粉来抨击,是不是反应有点慢了?其次,我和hezudao矛盾起因是他骚扰我在先,我反击而已,为何你要禁我的言而不处理他?再者,你声称我有攻击性和不文明言论,请你拿出证据。hezudao同学直接用“sb”侮辱我,我都克制没有爆粗,现在和你好好说话你却认为我有攻击性?是不是不太有道理?当然,欲加之罪何患无辞呢。
鉴于以上原因,我认为您有滥用版主权力的嫌疑。我会将这个问题向总版主反映,并且希望您能有所反省。

礼!
发表于 2015-8-31 12:26:40 | 显示全部楼层
hszgl 2013-5-4 19:03

您是前辈,且是版主,我很敬重您,也不想和您起什么矛盾。但是您对我的指责我完全无法接受。首先,您看过几篇我的帖子?从何得出我说话总是很冲?其次我1月份发的贴你到5月粉来抨击,是不是反应有点慢了?其次,我和hezudao矛盾起因是他骚扰我在先,我反击而已,为何你要禁我的言而不处理他?再者,你声称我有攻击性和不文明言论,请你拿出证据。hezudao同学直接用“sb”侮辱我,我都克制没有爆粗,现在和你好好说话你却认为我有攻击性?是不是不太有道理?当然,欲加之罪何患无辞呢。
鉴于以上原因,我认为您有滥用版主权力的嫌疑。我会将这个问题向总版主反映,并且希望您能有所反省。

礼!
发表于 2015-8-31 12:29:01 | 显示全部楼层
2.PNG 3.PNG
发表于 2015-8-31 12:32:30 | 显示全部楼层
事情起因于两位大神互相攻击和对骂,作为斑竹,我出面调停,谁料变本加厉.
转变方向将矛头直指到我身上,我禁言这位博士.然后他开始纠缠于此,为了当上班竹,曾经自我忏悔与网管Jacky.Zhang.
但凡,我事后的发言,他总能找到谈资.

真心是受教了.

呵呵
发表于 2015-8-31 16:02:40 | 显示全部楼层
本帖最后由 hszgl 于 2015-8-31 16:56 编辑

回复 49# xiaowanzi88


    嗯,很好,对于当时我的反击你一直耿耿于怀,所以一有机会就找茬,不是么?上次在chengdumicro的贴子里,你也是抓住一切机会大肆攻击;在这个帖子里,你找hezudao的茬,还不是把他当成了我?我是来劝和的,而你却是来找茬的,这就是你所谓的“出面调停”?就是这样纠缠不休?
    你不觉得很讽刺么?当年吵架的两个人,现在却一起对付你?
    到底是在我的发言里你来找茬,还是在我在你的发言里找茬?要不要翻一翻历史贴来展示一下你的脸皮到底有多厚?

    呵呵,算了。你以后的路还很长,还是不要让你太难看的好。

    不是我人肉能力有多强,是你留在网上的信息太多了。

    对了,你说的没错,我算是杭电的博士,杭电没有材物化的博士学位所以和川大联合培养。请多指教。(我记得我以前说过的。)
发表于 2015-8-31 17:02:42 | 显示全部楼层
回复 55# hszgl


    那都是你们的事,求不黑!
 楼主| 发表于 2015-9-17 16:43:19 | 显示全部楼层


回复  istart_2002


   理想电流源内阻无穷大,可以用电阻
jzr1989 发表于 2015-8-24 20:26


电阻仿瞬态时如何改变阻值啊~
发表于 2015-9-17 21:14:35 | 显示全部楼层
回复 57# istart_2002


   我做了两个开关,交替打打开两路电阻,这是我想到的最笨方法了,还不会用VerilogA建模
发表于 2015-9-17 22:44:50 | 显示全部楼层
学习了
 楼主| 发表于 2015-9-18 13:32:40 | 显示全部楼层
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 19:19 , Processed in 0.020179 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表