在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2938|回复: 8

[求助] 自己创建的ROM读不出来数据

[复制链接]
发表于 2011-11-29 22:17:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 走走停停 于 2011-11-29 22:21 编辑

module memory_tb;


// Inputs

reg clk;

reg[6:0] addr;


// Outputs

wire[15:0] dataout;



// Instantiate the Unit Under Test (UUT)

memory uut (

.clk(clk),

.addr(addr),  

.dataout(dataout)

);


   initial begin
     clk = 1'b0;
     addr = 16'h0001;
    end
   always
     clk = #(5/2) ~clk;
这是自己的testbench,创建的ROM为16bit*100,而且已经读入ceo文件了,但是为什么仿真的时候读不出来数据呢

dataout一直是16‘h0000.
发表于 2011-11-30 00:39:52 | 显示全部楼层
你这个问题不好判断....
你最好给出仿真波形....
发表于 2011-11-30 00:42:30 | 显示全部楼层
initial begin
     clk = 1'b0;
    addr = 16'h0001;
    end
地址线始终在16'h0001,根本没动过,当然一直是1个值。
 楼主| 发表于 2011-11-30 09:25:45 | 显示全部楼层
回复 1# 走走停停


    未命名.jpg 就是这个样子的,主程序中我只是例化了一下ROM。
 楼主| 发表于 2011-11-30 09:26:39 | 显示全部楼层
回复 3# remnant
刚才仿真下,现在是根本没有数据了,一直是XXXX,读取不出来的
发表于 2011-11-30 12:52:46 | 显示全部楼层
回复 5# 走走停停


把完整的东西发上来再说。
你clk是否满足该mem的时序要求?
发表于 2011-11-30 13:53:17 | 显示全部楼层
CEO文件是什么意思?
发表于 2011-11-30 13:55:32 | 显示全部楼层
对于altera,应该是mif文件。
发表于 2011-11-30 17:27:40 | 显示全部楼层




    你把信号截图放完整...你这个图不能确定你的ROM里面真的有数据....
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 15:25 , Processed in 0.023185 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表