在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 32998|回复: 127

[资料] 分享一个好东西:verilog代码美化工具

[复制链接]
发表于 2011-1-16 16:19:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
试用过了,不错!

How to use : perl ind_verilog.pl my_code.v

====  Author: Pinhas Krengel
==== This source file may be used and distributed without        ====
==== restriction provided that this copyright statement is not   ====
==== removed from the file and that any derivative work contains ====
==== the original copyright notice and the associated disclaimer.====
发表于 2011-1-16 18:24:06 | 显示全部楼层
呵呵,谢谢楼主
发表于 2011-1-16 18:26:47 | 显示全部楼层
呵呵,谢谢楼主
发表于 2011-1-16 21:01:44 | 显示全部楼层
什么情况?貌似什么都没有啊
发表于 2011-1-16 23:19:28 | 显示全部楼层
怎么下?奇怪
发表于 2011-1-17 05:53:09 | 显示全部楼层
我来帮帮楼主

ASIC/FPGA Design and Verification Out Source Services

Code Indentation

The following set of scripts indent a code, which is written in e (specman) or verilog or vhdl. The motivation for indentation is to have all code with the same indentation using spaces only (no TABs). Using spaces for indentation makes the indentation independent of the editor and its configuration, which is used.
Sometimes a block of code is not complete, be it VHDL, verilog or specman, and it is difficult to find where a {} (e) is missing. When running the script, it would try to find the number of first mis-match. The default indentation size is two spaces.
The script modified output file is written always to a file named 2.e. The user than should use diff -w and confirm the changes.

How to use : perl ind.pl my_code.e
How to use : perl ind_verilog.pl my_code.v
How to use : perl ind_vhdl.pl my_code.vhd

Note: if the file is in DOS format, you may want to first run dos2unix on the file.

codes.rar (6.04 KB, 下载次数: 769 )
发表于 2011-1-17 09:05:33 | 显示全部楼层
谢谢啊
发表于 2011-1-17 09:09:05 | 显示全部楼层
thanks a lot
发表于 2011-1-17 11:03:28 | 显示全部楼层
不错啊!下下来试试。
发表于 2011-1-17 11:26:31 | 显示全部楼层
thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 21:38 , Processed in 0.031373 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表