在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13984|回复: 13

[求助] verilog如何获取系统时间做为随机数的种子

[复制链接]
发表于 2010-5-8 13:22:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
非仿真时间$time,谢谢~
发表于 2010-5-8 14:53:09 | 显示全部楼层
verilog不能获取系统时间吧,可以定义一个PLI函数,返回系统时间
 楼主| 发表于 2010-5-16 16:25:51 | 显示全部楼层
非常感谢您
我试过在VCS的命令行加上+SEED,然后再MAKEFILE将SEED变量设为系统时间好像是可以的
发表于 2010-5-16 23:48:07 | 显示全部楼层
是可以实现的,但是我觉得SEED要自己控制才是的
发表于 2010-5-17 16:24:16 | 显示全部楼层
good, thank you
发表于 2010-5-17 23:02:53 | 显示全部楼层
学习了 呵呵
发表于 2010-5-18 09:55:29 | 显示全部楼层
如果用系统时间作为随机的种子,那么你这个测试用例的就没有可重复性了,这点要注意啊
 楼主| 发表于 2010-5-19 12:51:40 | 显示全部楼层
楼上说得好,的确有这个问题,如果是用system verilog可以记住这支没过PASS的pattern(我不懂SV,听同事说的)。但verilog不行,这样会造成无法复现问题
发表于 2010-7-13 13:43:27 | 显示全部楼层
有关重用性,可以在make之后cp之前生成的带seed的run环境到例如
run_simv_1111(假如1111是刚才系统时间生成的seed)
,这样就可以确保重用了。。。,当然生成完整的带filelist的仿真目录更好
发表于 2010-7-13 16:44:08 | 显示全部楼层
对,实在想这样用就把把seed和配置打印出来,如果真的仿真有问题,大不了手动给配seed复现问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 01:45 , Processed in 0.036851 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表