在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 24464|回复: 40

[求助] 如何在RTL代码中加入IO和POWER PAD?

[复制链接]
发表于 2009-10-26 10:33:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 陈涛 于 2011-12-16 13:07 编辑

各位高手:   本人需在netlist中加入IO和POWER的PAD,查阅相关资料后得知要进行PAD例化,相关资料显示如下:Input Pad: PADINC p20(.DI(reset_i), .Ypad(reset));该句代码是对输入PAD的一个例化,我想要问的问题是:“PADINC”这个名称是不是随使用的工艺库的不同而不同呢?这里的“DI”和“Ypad”名称又是从何而来呢?可以随便写吗?如果不能,那这些名称是怎么来的呢?我按照该资料的相关格式对PAD进行了例化但是在ENCOUNTER的design import 中导入 并没有看到CORE周围有PAD添加。问题出在哪里呢?
发表于 2009-10-26 13:34:00 | 显示全部楼层
这个就是在foundry 提供的IO库文件的Verilog 或者 VHDL的代码中会有IO库,你直接在你的顶层RTL中对其进行例化,“PADINC”肯定是IO库中的某一个IO的名字,直接调用就可以了,“DI”和“Ypad”就是你用的那个“PADINC”这个IO的端口,把所有的IO都加上之后,然后把这个作为顶层进行综合,出来的netlist就已经加上PAd的了
 楼主| 发表于 2009-10-26 15:09:02 | 显示全部楼层
2# handucheng
楼上的朋友,先谢谢你正确的解答,我现在已经找到了IO库中的VERILOG文件,里面确实定义了许多module,但我现在的问题是:无法区别哪些是我想要的,比如输入PAD.以下是我从程序中考贝出来的一小段程序:
`celldefine
`delay_mode_path
`suppress_faults
`enable_portfaults
`timescale 1 ns / 10 ps
module PANA1AP (PAD);
inout PAD;

   parameter ExtLoad = 50.0 ;
`ifdef NOTIMING
`else
   specify
      specparam cell_count    = 0.000000;
      specparam Transistors   = 0 ;
   endspecify
`endif
endmodule
`nosuppress_faults
`disable_portfaults
`endcelldefine
该程序定义的是什么呢 是这些东西吗 另外我以将IO库中的VERILOG文件上传于附件中。该文件定义了很多MODULE,但我看不懂这些,也不会区分。希望你能进一步指导。

SP018_V1p4.rar

5.05 KB, 下载次数: 114 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2009-10-26 17:37:28 | 显示全部楼层
每个module对应不同的PAD 在PDF文档中有说明
 楼主| 发表于 2009-10-26 18:09:19 | 显示全部楼层
恩 我已经找到了!非常感谢你们的帮助。
发表于 2009-10-26 19:25:19 | 显示全部楼层
5# lztogether
别忘了加内核供电IO和给IO本身供电的IO, 数量要足够. 有些有特殊要求,IO的pdf文档里也有,仔细看
 楼主| 发表于 2009-10-27 12:51:15 | 显示全部楼层
恩 谢谢楼上的朋友提醒
 楼主| 发表于 2009-10-28 20:16:47 | 显示全部楼层
各位朋友:我通过用verilog编写一个简单的电路程序,然后将PAD加入到该程序中后,通过DC综合产生.v网表和.sdc文件,然后倒入到SOC 中,可仍然看不到PAD。请问是否还应该倒入什么文件呢,还是其他缺了其他什么步骤?
发表于 2009-10-29 09:35:52 | 显示全部楼层
还要在encounter中加入IO文件!
 楼主| 发表于 2009-10-29 11:18:44 | 显示全部楼层
我终于把PAD给加上了  太开心了 太激动了  谢谢大家的鼎力相助
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 13:28 , Processed in 0.041711 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表