在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 0|主题: 6528|排名: 15 

[原创] SVTB_Lab4 OOP Encapsulation attachment dreamer180 2020-2-13 71959 rvisk 2021-1-5 10:15
[原创] 自己用UVM搭建的apb+reg的完整环境,给大家做做参考! attach_img  ...23456..15 see01995 2013-9-22 14743645 wxqy_anita 2020-12-30 12:39
[原创] DDR3模型验证初始化问题 lyu 2012-2-25 98550 542002990 2020-12-22 12:06
[原创] 高级芯片验证研发人必备,还有补充的吗?  ...2 Emmayi 2020-6-23 123952 542002990 2020-12-22 11:46
[原创] 在进行网表后仿的时候,如何选择性关闭在掉电或者上电的时候的timing check? 新人帖  ...2 Clancey 2020-10-20 155498 anpengfei 2020-12-9 16:55
[原创] 问一下,大量调用DPI/VPI会影响仿真速度,那具体原因是什么呢。 年轻的韭菜 2020-12-6 42290 年轻的韭菜 2020-12-8 16:37
[原创] 有没有在成都/长沙的芯片验证大神? 新人帖 RiceTang 2020-11-25 41786 年轻的韭菜 2020-11-26 17:55
[原创] 关于UVM中C model的理解和Cookbook中如何引入C_based_stimulus 完整实例 attachment leapoo 2014-7-25 23421 琴声悠扬 2020-11-9 15:13
悬赏 [原创] uvm_test中的相关问题 - [悬赏 1 信元资产] attach_img mioyo 2020-10-23 62411 mioyo 2020-11-6 14:55
[原创] Systemverilog语法问题分析——BNF使用方法 新人帖 zhuyimang 2020-11-5 12207 saipolo 2020-11-6 09:48
[原创] mark下nc code cov排除某个signal或者signal[bits] attach_img anpengfei 2020-11-2 01542 anpengfei 2020-11-2 19:54
[原创] verification env demo v2 attachment cofd0nut 2020-8-21 51576 cofd0nut 2020-9-30 20:22
悬赏 [原创] 用万用表测ESD问题咨询 - [悬赏 100 信元资产] zhuzhiyong 2020-9-30 12490 hitzhabc 2020-9-30 15:28
[原创] rand bit data[100]如何约随机一位是1剩下的都是0 yd452133823 2020-7-10 82793 vulture09 2020-9-29 17:56
[原创] uvm_root学习总结 新人帖 attach_img ryy0609 2020-9-28 43723 ryy0609 2020-9-28 20:19
[原创] 教会您对questasim的深度学习 systemverilog,questasim,tcl学习例子 attachment  ...23456..9 ligang1986718 2013-12-21 8625012 zbh_xue 2020-9-28 10:54
[原创] mark今天工作 attach_img agree anpengfei 2020-9-15 01826 anpengfei 2020-9-15 21:36
[原创] 求NCverilog软件 Liz6868 2019-11-2 72279 lv6336 2020-9-13 14:52
[原创] 请问女生做IC验证职业发展怎么样?  ...23 tuzituzituzi 2016-10-18 2612856 StephenChan 2020-9-4 11:54
[原创] UVM中如何等待一个packet的到来 zhouyunlu 2020-9-3 51667 anpengfei 2020-9-4 09:48
[原创] 【VCS】DVE detected a severe runtime error attach_img moyvdu 2020-9-3 02028 moyvdu 2020-9-3 09:04
[原创] 验证功能点提取 chip_z 2020-8-31 32528 anpengfei 2020-9-1 14:14
[原创] uvm config db set 和 get问题讨论 attach_img zhouyunlu 2020-8-27 31785 zhouyunlu 2020-8-27 18:02
[原创] 使用vcs添加覆盖率仿真,仿真异常!  ...2 我不是三毛 2019-11-22 103125 matthew_huzj 2020-8-17 11:40
[原创] UVM Cookbook 2018 IEEE 1800.2 UVM Standard (add Library and examples Code ) attachment  ...23 fancyhana 2018-9-11 287087 nidiya 2020-8-12 17:57
[原创] questasim仿真时delta cycle问题 attach_img tangchongyue 2020-7-29 23347 tangchongyue 2020-8-7 21:56
[原创] 北京多家芯片公司招聘soc验证、处理器验证 linxi1123 2020-8-3 01310 linxi1123 2020-8-3 11:38
[原创] 上海大厂验证职位 linxi1123 2020-7-27 01436 linxi1123 2020-7-27 20:18
[原创] 求助,questasim 点击project上面的工具栏 就弹出错误 新人帖 attach_img yd452133823 2020-7-1 21431 yd452133823 2020-7-10 08:48
[原创] 用宏实现参数化类 - [阅读权限 120] JetPeng 2020-7-1 040 JetPeng 2020-7-1 22:50
[原创] 大厂招聘各方向验证,欢迎问询 linxi1123 2020-6-23 11634 linxi1123 2020-6-24 09:33
[原创] UVM中关于调用vip的axi做master sccedi 2020-3-30 43167 sccedi 2020-6-24 09:01
[原创] 使用Verdi 对UVM进行debug - [阅读权限 120]attach_img 年轻的韭菜 2020-6-23 087 年轻的韭菜 2020-6-23 15:56
[原创] sequence_library使用方法 linzhang 2020-6-10 22496 linzhang 2020-6-23 09:51
[原创] 我的验证之路  ...234 sailingoal 2018-4-2 3311962 icewindqz 2020-6-22 01:14
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 03:23 , Processed in 0.017808 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块