在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1793|回复: 3

[原创] uvm config db set 和 get问题讨论

[复制链接]
发表于 2020-8-27 15:45:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

在my_agent中声明 my_agent_cfg文件,将agent配置文件以指针形式指进my_agent中

微信截图_20200827153533.jpg

function void my_agent::build_phase(uvm_phase phase);
   super.build_phase(phase);
   
   if (!uvm_config_db#(i_agent_cfg)::get(this,"","m_i_agent_cfg",m_agent_cfg))
   
后面在my_agent build phase中直接去config_db get m_agent_cfg,在上层env和module top中都没有set, 请问这个get怎么理解?










 楼主| 发表于 2020-8-27 15:46:49 | 显示全部楼层
class my_agent extends uvm_agent ;
  i_agent_cfg    m_agent_cgf;
发表于 2020-8-27 16:30:48 | 显示全部楼层
没有set就get不到啊,if()语句为真
 楼主| 发表于 2020-8-27 18:02:36 | 显示全部楼层


chip_z 发表于 2020-8-27 16:30
没有set就get不到啊,if()语句为真


是的,我没转过思想,if为真继续进行下面的动作
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 18:30 , Processed in 0.020292 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表