在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 415|回复: 8

[求助] UVM 编译错误

[复制链接]
发表于 2024-7-1 14:35:42 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
agent 定义一个端口类型为 uvm_analysis_port#(transaction) ap

monitor 定义一个端口类型为 uvm_analysis_port#(transaction) ap
在monitor中调用了ap.write(tr);


在agnet中例化创建monitor  为mon, 并且在connect  phase中把端口连接  ap=mon.ap

在环境env中创建一个uvm_tlm_analysisi_fifo#(transaction)  agt_mod_analysis_fifo

在env 例化创建agent为agt并且在connect_phase中进行连接: agt.ap.connect(agt_mod_analysis_fifo.analysis_export);

编译的时候一直出错:ICTTFC  Incompatible complex type usage

这是为什么啊啊啊啊
发表于 2024-7-1 15:04:23 | 显示全部楼层
blcoking_get_export连了吗
 楼主| 发表于 2024-7-1 15:59:52 | 显示全部楼层


ruinforce 发表于 2024-7-1 15:04
blcoking_get_export连了吗


连接了 ref_mod中定义了 uvm_blocking_get_port#(transaction)   port;  在env中和agt_mod_fifo连接的:mod.port.connect(agt_mod_fifo.blocking_get_export)
发表于 2024-7-1 16:49:32 | 显示全部楼层
 楼主| 发表于 2024-7-1 16:59:25 | 显示全部楼层


ruinforce 发表于 2024-7-1 16:49
https://blog.csdn.net/qq_29362849/article/details/129326693


我看过这个了 但是还是没找到问题 传入的参数i都是同类型的
发表于 2024-7-1 17:30:14 | 显示全部楼层
如果方便,可以把重要代码片段截图,
不方便的话,你应该进行多个port 的连接,先都断开,一点儿一点儿增加连接,查看是哪两个port连接一起出问题的。
发表于 2024-7-5 21:19:26 | 显示全部楼层
mon里面create了吗
 楼主| 发表于 2024-7-18 14:12:48 | 显示全部楼层


dreamer21 发表于 2024-7-5 21:19
mon里面create了吗


create 了  ap =new("ap",this)
 楼主| 发表于 2024-7-31 09:59:52 | 显示全部楼层
找到问题啦   应该是include 和 import pkg的时候顺序有问题所以导致失败的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-19 08:17 , Processed in 0.023531 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表