在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 209|回复: 4

[求助] VCS没办法dump fsdb还是什么其他问题?

[复制链接]
发表于 2024-4-28 19:56:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
跑完就停了
就是没有dump出fsdb文件
想请问一下大佬们,弄了好几天了
1714305352345.jpg
下载.png
发表于 2024-4-29 08:53:06 | 显示全部楼层
%> ./simv
发表于 2024-4-29 09:26:42 | 显示全部楼层
你只是 compile 了,還没 run simv
发表于 2024-4-29 11:52:41 来自手机 | 显示全部楼层
你把begin放到initial后面,end和initial对齐,我就告诉你为啥
发表于 2024-4-29 19:03:55 | 显示全部楼层


saipolo 发表于 2024-4-29 11:52
你把begin放到initial后面,end和initial对齐,我就告诉你为啥


你太调皮了,哈哈。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 05:24 , Processed in 0.024070 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表