在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 252|回复: 2

[求助] set_false_path 与set_disable_timing

[复制链接]
发表于 2024-3-15 09:17:49 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在DC综合时,set_false_path 与set_disable_timing 最本质的区别是什么?
发表于 2024-3-15 09:32:29 | 显示全部楼层

                               
登录/注册后可看大图

发表于 2024-3-15 09:36:10 | 显示全部楼层
set_false_path timing path还在,只是忽略它
set_disable_timing 直接把timing path打断了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 01:42 , Processed in 0.022144 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表