在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 317|回复: 2

[求助] transition验证occ和crg复位

[复制链接]
发表于 2024-2-27 20:51:32 | 显示全部楼层 |阅读模式
50资产
求助各位大佬,做core的transition仿真验证时,时钟相关的复位信号,occ是通过DFT_occ_rst_mux_s复位,crg复位信号是dft_crg_rst_n信号。如何确定复位和解复位的时间?(复位动作之后可以消除时钟的X态,但是复位/解复位的时间不正确会导致仿真时寄存器capture阶段的时钟拍数与atpg不一致,有0-1mismatch)。

发表于 2024-2-28 17:47:35 | 显示全部楼层
是同步复位的话应该不会出现这种现象吧
发表于 2024-2-29 13:59:00 | 显示全部楼层
没看明白,你说的两个复位都是单独控制吗?如果两个复位单独控制的目的是什么?(DFT时序要求?)如果两个复位同源,那就不会不一致了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 21:06 , Processed in 0.018455 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表