在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 582|回复: 2

[求助] 用verilog实现加减法

[复制链接]
发表于 2023-12-29 22:07:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
求助:怎么用veriilog 实现带符号数的加减啊? 比如data_a[7:0] 其中MSB是认为定义的符号位,1'b1表示-,1'b0 表示+,data_b[3:0] 是无符号数,希望实现data_a[7:0] -/+ data_b[3:0],我是想用补码去实现的,但是感觉补码要翻转两次,但是电路又是工作在1GHz下,感觉这样在一个cycle 内完不成,大家有什么好的方法吗?
发表于 2023-12-30 09:30:12 | 显示全部楼层
本帖最后由 liuguangxi 于 2023-12-30 09:31 编辑

可以这么写:

wire signed [8:0] sum, sub;
assign sum = $signed(data_a) + $signed({1'b0, data_b});
assign sub = $signed(data_a) - $signed({1'b0, data_b});

发表于 2024-1-3 12:36:27 | 显示全部楼层
考虑加法是否可以降速或多拍执行,code写法可以定义signed实现,也可以补码写法实现
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 23:33 , Processed in 0.019566 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表