在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 825|回复: 10

[求助] report_timing -through ,得到的时序路径是一个不完整的

[复制链接]
发表于 2023-12-21 15:56:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

unconstrained.jpeg
请问一下,大家有在PT里遇到这样的问题吗?我想通过report_timing -through [get_cells xxx],得到的时序路径是不完整的,报(Path is unconstrained),不知道是什么问题导致的???
发表于 2023-12-21 16:21:38 | 显示全部楼层


                               
登录/注册后可看大图



试试这个命令
发表于 2023-12-21 16:57:36 | 显示全部楼层
Path is unconstrained 说明这条路径不需要检查,所以只有endpoint 也正常。
你可以配合 -nworst 和 -slack_less_than 0 一起用看看其他路径,有没有你要找的。
如果有该检查的路径是unconstrant,那你要怀疑约束是不是合理,或者没约束上的情况了
 楼主| 发表于 2023-12-21 17:38:53 | 显示全部楼层


卷芯菜 发表于 2023-12-21 16:57
Path is unconstrained 说明这条路径不需要检查,所以只有endpoint 也正常。
你可以配合 -nworst 和 -slack ...


好的,多谢了,我试试。因为这个DLATCH是我自己通过siliconsmart工具进行K库做的,需要检查一下timing等信息,确保和库里的类似cell一样。
发表于 2023-12-21 18:17:49 | 显示全部楼层
这个cell是不是Implied latch,G pin上没有infer出generated clock, 所以没有capture信息。你可以查看下这个cell G pin上是否定义了clock
 楼主| 发表于 2023-12-22 10:20:03 | 显示全部楼层


我试了还是不行。
 楼主| 发表于 2023-12-22 10:21:20 | 显示全部楼层


hxy2018 发表于 2023-12-21 18:17
这个cell是不是Implied latch,G pin上没有infer出generated clock, 所以没有capture信息。你可以查看下这 ...


我在K库的时候在LATCH.inst文件里面定义了E pin是clock,
 楼主| 发表于 2023-12-22 10:29:23 | 显示全部楼层


卷芯菜 发表于 2023-12-21 16:57
Path is unconstrained 说明这条路径不需要检查,所以只有endpoint 也正常。
你可以配合 -nworst 和 -slack ...


那得要看看SDC里面约束的条件吧?
发表于 2023-12-22 11:45:40 | 显示全部楼层
本帖最后由 hxy2018 于 2023-12-22 11:49 编辑


芯之所想 发表于 2023-12-22 10:21
我在K库的时候在LATCH.inst文件里面定义了E pin是clock,


我的意思是这个是clock pin,但很可能是没有clock走到这里。你可以用check_timing,看下这个E pin是否报了no_clock. 或者看下all_fanin -to {E pin}, 往前追一下netlist看E pin连接到了哪里. 如果不是no clock的问题,估计就是constraints 约束的问题了
发表于 2023-12-22 15:36:52 | 显示全部楼层
get_attribute [get_pins ..../LATCH/CK] clocks 查看有没有clock, 应该是sdc没定义时钟,或者时钟未传播到此处
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 18:49 , Processed in 0.035840 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表