在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 424|回复: 2

[求助] 请问这个notifier为啥时x态,这样正常吗?

[复制链接]
发表于 2023-12-12 17:04:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 modoalos 于 2023-12-12 17:25 编辑

新人跑后仿没发现问题,但是老板找到个x态,不知道为啥会这样


工艺库里该模块代码如下

module DRQHDV0 (D, RD, CK, Q);
  input D, RD, CK;

  output Q;
  reg NOTIFIER;
  supply1 xSN;

wire ENABLE_D;

  not   XX0 (xRN,RD);
  buf     IC (clk,CK);
  udp_dff I0 (n0,D, clk, xRN, xSN, NOTIFIER);
  buf     I1 (Q, n0);

  assign ENABLE_D= (D) ? 1'b1:1'b0;



  `ifdef functional // functional //

  `else // functional //
    not SMC_I5(ENABLE_NOT_RD,RD);


  specify


        // arc CK --> Q
        (posedge CK => (Q : D))  = (1.0,1.0);

        if(CK==1'b0 && D==1'b0)
        // arc RD --> Q
        (posedge RD => (Q : 1'b0))  = (1.0,1.0);

        if(CK==1'b0 && D==1'b1)
        // arc RD --> Q
        (posedge RD => (Q : 1'b0))  = (1.0,1.0);

        if(CK==1'b1 && D==1'b0)
        // arc RD --> Q
        (posedge RD => (Q : 1'b0))  = (1.0,1.0);

        if(CK==1'b1 && D==1'b1)
        // arc RD --> Q
        (posedge RD => (Q : 1'b0))  = (1.0,1.0);

        $width(negedge CK,1.0,0,NOTIFIER);

        $width(posedge CK,1.0,0,NOTIFIER);

        $setuphold(posedge CK &&& (ENABLE_NOT_RD == 1'b1),
            negedge D &&& (ENABLE_NOT_RD == 1'b1), 1.0, 1.0, NOTIFIER);

        $setuphold(posedge CK &&& (ENABLE_NOT_RD == 1'b1),
            posedge D &&& (ENABLE_NOT_RD == 1'b1), 1.0, 1.0, NOTIFIER);



        $setuphold(posedge CK &&& (ENABLE_D == 1'b1), negedge RD &&& (ENABLE_D == 1'b1), 1.0, 1.0, NOTIFIER);


        $width(posedge RD,1.0,0,NOTIFIER);


  endspecify

  `endif // functional //
endmodule
`endcelldefine

1702371583445.png
发表于 2023-12-13 08:33:54 来自手机 | 显示全部楼层
你是跑rtl sim還是gate level sim帶sdf?
 楼主| 发表于 2023-12-13 10:12:02 | 显示全部楼层


jasper0608 发表于 2023-12-13 08:33
你是跑rtl sim還是gate level sim帶sdf?


pr之后的gate_level_sim带sdf
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 20:46 , Processed in 0.020558 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表