在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 374|回复: 0

[讨论] set_clock_latency讨论求助

[复制链接]
发表于 2023-10-11 16:05:36 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
背景:TSMC 40LP 工艺 full chip(非block)后端设计,在写DC综合SDC约束时关于set_clock_latency的疑问:
(1) clock latency 分为source latency 和network latency, 对于我的设计而言,source latency 是PCB板上的PLL 到数字芯片clock IO PAD 的delay,这个delay应该比较小吧,不设置这个source latency只设置network latency可以吗,影响大不大?
(2) 芯片内部产生了generated clock, 那对于这个generated clock,它的clock latency需要设置吗, 如何设置,他的source latency 是和主时钟的一样吗,也是PLL 到数字芯片clock IO PAD 的delay?还是说除此之外还要加上主clock 到generated clock定义点这段delay?

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 11:14 , Processed in 0.014961 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表