|
楼主 |
发表于 2023-5-27 22:19:21
|
显示全部楼层
已经解决啦,确实是license的问题,自己重新找了license.dat补充了两个feature就正常啦:
FEATURE Spectre_AMSD_Lk cdslmd 2100.000 permanent uncounted
FEATURE Spectre_AMS_MMSIM_Lk cdslmd 2100.000 permanent uncounted
现在把我正常使用的license.dat分享给大家,遇到问题大家多多讨论,把我的环境bashrc也贴出来给大家复制
###########################cadence###############################
export Cadence_Dir=/opt/cadence
export CDS_LIC_FILE=$Cadence_Dir/license/license.dat
#SPECTRE211--------------------------------------------------------------
export MMSIM_HOME=/opt/cadence/SPECTRE211
export PATH=$MMSIM_HOME/binPATH
export PATH=$MMSIM_HOME/tools/binPATH
export PATH=$MMSIM_HOME/tools/dfII/binPATH
export PATH=$MMSIM_HOME/tools/spectre/bin:$PATH
#XCELIUM2009----------------------------------------------------
export CDHOME=/opt/cadence
export XCELIUMDIR=$CDHOME/XCELIUM2009
export VRST_HOME=$CDHOME/XCELIUM2009
export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:$CDHOME/XCELIUM2009/tools.lnx86/lib
alias irun="$CDHOME/XCELIUM2009/tools/bin/irun"
alias simv="$CDHOME/XCELIUM2009/tools/bin/simvision"
alias simvision="$CDHOME/XCELIUM2009/tools/bin/simvision -64 &"
alias ncvlog="$CDHOME/XCELIUM2009/tools/bin/ncvlog"
alias ncsim="$CDHOME/XCELIUM2009/tools/bin/ncvsim"
alias ncelab="$CDHOME/XCELIUM2009/tools/bin/ncelab"
alias ncvhdl_p="$CDHOME/XCELIUM2009/tools/bin/ncvhdl_p"
export SPECMAN_HOME=$XCELIUMDIR/components/sn
export SPECMAN_DIR=$SPECMAN_HOME/
export PATH="$PATH:$XCELIUMDIR/bin"
export PATH="$PATH:$XCELIUMDIR/tools.lnx86/bin"
export PATH="$PATH:$XCELIUMDIR/tools.lnx86/dfII/bin" |
-
-
cadence.zip
79.98 KB, 下载次数: 498
, 下载积分:
资产 -2 信元, 下载支出 2 信元
|