在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1865|回复: 6

[原创] 请教大家,vcs仿真时间如何设置 ?

[复制链接]
发表于 2023-5-8 00:47:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
以前用modelsim比较多,modelsim仿真时可以用命令行run   100ms 来控制仿真跑100ms,
现在用vcs,如何控制vcs仿真时间长度 ?是否可以用脚本控制 产生时间长度为100ms的波形 ?
还是只能用$finish 来控制仿真结束


发表于 2023-5-8 10:25:24 | 显示全部楼层
同问
发表于 2023-5-8 16:42:07 | 显示全部楼层
如果你只是想保存一段时间的波形的话可以用dumpon和dumpoff来实现,比如你要保存成fsdb格式的话就是$fsdbDumpon和$fsdbDumpoff。如果你是想实现modelsim里那种效果的话,你可以在testbench里用$stop来做间隔,这样仿真到$stop的时候会停下,你可以选择run这样它会继续仿真直到仿真结束或者下一个$stop,也可以仿真一段指定的时间,例如run 5ms,当然也可以finish直接结束仿真,不知道这样能不能满足你的需求。
发表于 2023-5-9 21:48:15 | 显示全部楼层
都可以的,如果用UVM,可以使用phase来控制
 楼主| 发表于 2023-5-19 22:48:39 | 显示全部楼层
收到,感谢回复
发表于 2023-5-25 10:12:07 来自手机 | 显示全部楼层
用ucli,传入tcl来整
发表于 2023-5-25 17:54:47 | 显示全部楼层
用DVE
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 08:24 , Processed in 0.022440 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表