在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3090|回复: 7

AMS混仿报错求救

[复制链接]
发表于 2023-4-11 15:02:27 | 显示全部楼层 |阅读模式
100资产
Cadence IC618+spectre201+INCISIVE152
仿真工具 ADE L -> ams
如果Netlist and Run Options里面不选Clean snapshot and pak files则报错:
irun(64): 15.20-p001: (c) Copyright 1995-2016 Cadence Design Systems, Inc.
TOOL:    irun(64)    15.20-p001: Started on Apr 11, 2023 at 14:35:25 CST
irun
    -f irunArgs
        -UNBUFFERED
        -cdslib ./cds.lib
        -errormax 50
        -status
        -nowarn DLNOHV
        -nowarn DLCLAP
        -amsformat psfxl_all
        -v93
        -incdir /home/xxxx/
        -ade
        -timescale 1ns/1ns
        -vtimescale 1ns/1ns
        -discipline logic
        -delay_mode None
        -novitalaccl
        -access r
        -noparamerr
        -amspartinfo ../psf/partition.info
        -rnm_partinfo
        -modelincdir /home/xxxx/
        ./spiceModels.scs
        ./amsControlSpectre.scs
        -input ./probe.tcl
        -run
        -exit
        -ncsimargs "+amsrawdir ../psf"
        -simcompatible_ams spectre
        -name xxxx_sim.sim_xxxx:config
        -amsconnrules ConnRules_5V_basic
        +define+CDS_SELECT_CRS
        +define+CONNRULES_5V_BASIC
        -allowredefinition
        -amsbind
        -top xxxx_sim.sim_xxxx:schematic
        -top cds_globals
        ./netlist.vams
        -f ./textInputs
                    (略)
            -endlib
        ./cds_globals.vams
        -l ../psf/irun.log
        -spectre_args +aps
file: ./netlist.vams
ncvlog: *F,DLNFS: Packed library for 'xxxx_sim' was either corrupt or the file system cache consistency check failed. To correct the problem, remove the packed library, and recompile. If the problem persists, contact Cadence Design Systems.
ncvlog: Memory Usage - 20.6M program + 28.2M data = 48.8M total
ncvlog: cpu Usage - 0.0s system + 0.0s user = 0.0s total (1.0s, 3.4% cpu)
irun: *E,VLGERR: An error occurred during parsing.  Review the log file for errors with the code *E and fix those identified problems to proceed.  Exiting with code (status 2).
TOOL:    irun(64)    15.20-p001: Exiting on Apr 11, 2023 at 14:35:36 CST  (total: 00:00:11)


如果Netlist and Run Options里面选择Clean snapshot and pak files则报错:
irun(64): 15.20-p001: (c) Copyright 1995-2016 Cadence Design Systems, Inc.
TOOL:    irun(64)    15.20-p001: Started on Apr 11, 2023 at 14:45:51 CST
irun
    -f irunArgs
        -clean
        -UNBUFFERED
        -cdslib ./cds.lib
        -errormax 50
        -status
        -nowarn DLNOHV
        -nowarn DLCLAP
        -amsformat psfxl_all
        -v93
        -incdir /home/xxxx/
        -ade
        -timescale 1ns/1ns
        -vtimescale 1ns/1ns
        -discipline logic
        -delay_mode None
        -novitalaccl
        -access r
        -noparamerr
        -amspartinfo ../psf/partition.info
        -rnm_partinfo
        -modelincdir /home/xxxx/
        ./spiceModels.scs
        ./amsControlSpectre.scs
        -input ./probe.tcl
        -run
        -exit
        -ncsimargs "+amsrawdir ../psf"
        -simcompatible_ams spectre
        -name xxxx_sim.sim_xxxx:config
        -amsconnrules ConnRules_5V_basic
        +define+CDS_SELECT_CRS
        +define+CONNRULES_5V_BASIC
        -allowredefinition
        -amsbind
        -top xxxx_sim.sim_xxxx:schematic
        -top cds_globals
        ./netlist.vams
        -f ./textInputs
                   (略)
            -endlib
        ./cds_globals.vams
        -l ../psf/irun.log
        -spectre_args +aps
irun: *N,CLEAN: Removing existing directory ./INCA_libs.
file: ./netlist.vams
    module (略)
file: ./cds_globals.vams
    module worklib.cds_globals:vams
        errors: 0, warnings: 0
file: (略)
ncvlog: *W,SPDUSD: Include directory /home/xxxx/ given but not used.
    Total errors/warnings found outside modules and primitives:
        errors: 0, warnings: 1
ncvlog: Memory Usage - 20.6M program + 30.0M data = 50.6M total
ncvlog: CPU Usage - 0.0s system + 0.3s user = 0.3s total (0.5s, 56.6% cpu)
        Caching library 'xxxx' ....... Done
        Caching library 'xxxx_sim' ....... Done
        Caching library 'worklib' ....... Done
    Elaborating the design hierarchy:
        Caching library 'xxxx_sim' ....... Done
        Caching library 'worklib' ....... Done
    Top level design units:
        sim_xxxx
        cds_globals
    Discipline resolution Pass...
    Doing auto-insertion of connection elements...
    Connect Rules applied are:
        ConnRules_5V_basic
    Building instance overlay tables: .................... Done
    Using implicit TMP libraries; associated with library xxxx_sim
    Generating native compiled code:
        xxxx_sim.sim_xxxx:schematic <0x531294fd>
            streams:   0, words:     0
        connectLib.E2L_0:module <0x1d14aa2b>
            streams:   4, words:  2892
        connectLib.L2E_0:module <0x4fc7566c>
            streams:   4, words:  5342
        xxxx(略)
    Building instance specific data structures.
    Loading native compiled code:     .................... Done
    Design hierarchy summary:
                          Instances  Unique
        Modules:               2438     117
        Primitives:             152       3
        Registers:             2640      87
        Scalar wires:          3079       -
        Vectored wires:         665       -
        Always blocks:         1696      35
        Initial blocks:         848      19
        Cont. assignments:     1918      63
        Interconnect:          2831       -
        Simulation timescale:   1ps
    Writing initial simulation snapshot: xxxx_sim.sim_xxxx:config
ncelab: Memory Usage - 49.0M program + 68.3M data = 117.4M total (Peak 256.4M)
ncelab: CPU Usage - 0.7s system + 1.3s user = 2.0s total (2.3s, 84.9% cpu)
Loading snapshot xxxx_sim.sim_xxxx:config .................... Done
Simulating in AMS-SIE mode...
    Starting Analog simulation engine...
AMSD: Environment variable:
        SPECTRE_DEFAULTS = -E
AMSD: Using spectre solver with arguments: -E +aps.

Cadence (R) virtuoso (R) Spectre (R) Circuit Simulator
Version 15.1.0.284.isr1 64bit -- 10 Dec 2015
Copyright (C) 1989-2015 Cadence Design Systems, Inc. All rights reserved
        worldwide. Cadence, Virtuoso and Spectre are registered trademarks of
        Cadence Design Systems, Inc. All others are the property of their
        respective holders.

Includes RSA BSAFE(R) Cryptographic or Security Protocol Software from RSA
        Security, Inc.

User: xxxx   Host: server2.solanis   HostID: A8C01A00   PID: 25450
Memory  available: 3.0135 GB  physical: 33.7516 GB
Linux   : Red Hat Enterprise Linux Server release 6.10 (Santiago)
CPU Type: Intel(R) Xeon(R) CPU E5-2640 v2 @ 2.00GHz
All processors running at 2000.0 MHz
        Socket: Processors (Hyperthreaded Processor)
        0:       0 ( 16 ),  2 ( 18 ),  4 ( 20 ),  6 ( 22 ),  8 ( 24 )
                10 ( 26 ), 12 ( 28 ), 14 ( 30 )
        1:       1 ( 17 ),  3 ( 19 ),  5 ( 21 ),  7 ( 23 ),  9 ( 25 )
                11 ( 27 ), 13 ( 29 ), 15 ( 31 )
        
System load averages (1min, 5min, 15min) : 27.7 %, 26.5 %, 27.3 %
Hyperthreading is enabled


Analog Kernel using -ANALOGCONTROL  ./spiceModels.scs.
Reading file:  /data0/simulation/sim_9090_2/ams/config/netlist/spiceModels.scs
Reading file:  /data0/simulation/sim_9090_2/ams/config/netlist/netlist.vams
Reading link:  /edatools/INCISIVE152/tools
Reading file:
        /edatools/INCISIVE152/tools.lnx86/affirma_ams/etc/connect_lib/L2E_0.vams
Opening directory spiceModels.ahdlSimDB/ (775)
Opening directory
        spiceModels.ahdlSimDB//1920_tools_affirma_ams_etc_connect_lib_L2E_0.vams.connectLib__L2E_0__module__0x10000001_behavioral.ahdlcmi/
        (775)
Opening directory
        spiceModels.ahdlSimDB//1920_tools_affirma_ams_etc_connect_lib_L2E_0.vams.connectLib__L2E_0__module__0x10000001_behavioral.ahdlcmi/Linux-64/
        (775)
Reading file:
        /data0/simulation/sim_9090_2/ams/config/netlist/spiceModels.ahdlSimDB/1920_tools_affirma_ams_etc_connect_lib_L2E_0.vams.connectLib__L2E_0__module__0x10000001_behavioral.ahdlcmi/Linux-64/obj/optimize/5.0/libahdlcmi_connectLib__L2E_0__module__0x10000001_behavioral.so
Installed compiled interface for
        connectLib__L2E_0__module__0x10000001_behavioral.
Reading file:
        /edatools/INCISIVE152/tools.lnx86/affirma_ams/etc/connect_lib/E2L_0.vams
Opening directory spiceModels.ahdlSimDB/ (775)
Opening directory
        spiceModels.ahdlSimDB//1920_tools_affirma_ams_etc_connect_lib_E2L_0.vams.connectLib__E2L_0__module__0x10000001_behavioral.ahdlcmi/
        (775)
Opening directory
        spiceModels.ahdlSimDB//1920_tools_affirma_ams_etc_connect_lib_E2L_0.vams.connectLib__E2L_0__module__0x10000001_behavioral.ahdlcmi/Linux-64/
        (775)
Reading file:
        /data0/simulation/sim_9090_2/ams/config/netlist/spiceModels.ahdlSimDB/1920_tools_affirma_ams_etc_connect_lib_E2L_0.vams.connectLib__E2L_0__module__0x10000001_behavioral.ahdlcmi/Linux-64/obj/optimize/5.0/libahdlcmi_connectLib__E2L_0__module__0x10000001_behavioral.so
Installed compiled interface for
        connectLib__E2L_0__module__0x10000001_behavioral.

Error found by spectre during circuit read-in.
    ERROR (VACOMP-2070):
        "/edatools/INCISIVE152/tools/spectre/etc/ahdl/disciplines.vams", line
        251: Detected unknown type for identifier: F. Check the validity of the
        type.
    ERROR (VACOMP-2070):
        "/edatools/INCISIVE152/tools/spectre/etc/ahdl/disciplines.vams", line
        251: Detected unknown type for identifier: F. Check the validity of the
        type.
    ERROR (SPECTRE-357): AMS Analog Elaboration has exited with error.
        Simulation will terminate.


spectre terminated prematurely due to fatal error.
ncsim: *F,RNAERR: The simulator terminated with an analog initialization error.
ncsim: Memory Usage - 35.7M program + 442.5M data = 478.2M total (478.2M Peak)
ncsim: CPU Usage - 0.3s system + 3.7s user = 4.0s total (4.1s, 97.0% cpu)
TOOL:    irun(64)    15.20-p001: Exiting on Apr 11, 2023 at 14:46:13 CST  (total: 00:00:22)






 楼主| 发表于 2023-4-13 13:56:57 | 显示全部楼层
已解决。是误定义了F
发表于 2023-6-8 14:45:34 | 显示全部楼层


feiyufox 发表于 2023-4-13 13:56
已解决。是误定义了F


楼主你好,在eetop中看到你发帖的问题和我的很相似,并且已经解决。想请教下楼主是怎么解决的,谢谢
 楼主| 发表于 2023-6-14 13:58:49 | 显示全部楼层


kqwater 发表于 2023-6-8 14:45
楼主你好,在eetop中看到你发帖的问题和我的很相似,并且已经解决。想请教下楼主是怎么解决的,谢谢
...


把报的错误贴出来让大家看下啊
发表于 2023-6-19 17:33:45 | 显示全部楼层


feiyufox 发表于 2023-6-14 13:58
把报的错误贴出来让大家看下啊


问题已解决,是后仿反提时cellmap文件不对,并且后仿文件太大导致仿真跑不动。谢谢楼主回复
发表于 2024-2-2 15:41:13 | 显示全部楼层

同理
XCELIUM231  IC618   混合仿真的简单例子,走不通,请大神解
aaa.png
发表于 2024-2-5 16:31:49 | 显示全部楼层
顶一下
发表于 2024-2-5 22:23:08 | 显示全部楼层
thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 20:59 , Processed in 0.024839 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表