在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: HOU_TX

[求助] 关于clock-gating的疑问,跪求解答

[复制链接]
发表于 2024-1-17 00:01:17 | 显示全部楼层


0_hila_0 发表于 2024-1-16 00:31
您好,想请假一下,综合的时候因为clock gating单元驱动的寄存器比较多,里面的与门负载导致的延迟比较大 ...


综合的时候clock path是当成ideal的。
不妨report_timing看一下,launch/capture clock edge的数字应该不包括clock path上ICG的cell delay。


发表于 2024-5-9 11:06:18 | 显示全部楼层


jake 发表于 2023-4-6 22:15
以图为例,UAND0/B接的是CLKA,在SDC中应该有create_clock约束加到CLKA这个net上。工具看到CLKA穿过UAND0 ...


想问一下为什么是hold违例,不是setup违例呀?不是setup才与周期有关吗?

而且感觉UAND0的launch path明显长于capture path呀。求大佬解答一下,感恩
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 22:40 , Processed in 0.016292 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表