在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1649|回复: 9

[求助] PT抽lib

[复制链接]
发表于 2023-3-31 10:42:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问哪位好心人有PT抽lib的详细流程不,不仅仅是extract_model这个指令,而是要包括所有提取delay、power、noise信息的相关设置
发表于 2023-3-31 10:58:54 | 显示全部楼层
extract_model 似乎也没有太多的选项可以弄的 ~~~
 楼主| 发表于 2023-3-31 11:05:41 | 显示全部楼层


voiluce 发表于 2023-3-31 10:58
extract_model 似乎也没有太多的选项可以弄的 ~~~


我用extract_model -power -noise时,报出来需要clock,但我有design是纯组合逻辑。另外如果要提取power/noise的信息,是不是需要跑power/noise analysis才行。
发表于 2023-3-31 14:03:36 | 显示全部楼层
报出来需要clock,但我有design是纯组合逻辑。 。。 create clock 一下就可以。。。

如果要提取power/noise的信息
power 应该是需要ana power 要打开。
noise ,需要读ccs的库 ~~~
 楼主| 发表于 2023-3-31 15:56:03 | 显示全部楼层


voiluce 发表于 2023-3-31 14:03
报出来需要clock,但我有design是纯组合逻辑。 。。 create clock 一下就可以。。。

如果要提取power/nois ...


创建一个虚拟时钟还不行,需要一个实际的时钟。我的做法是在design里面创建一个虚拟reg(CK端接clk,其它端floating),这样是可以抽.lib,但.db就抽不了。
另外还有一个问题:对VDD/VSS相关设置如下:

    create_supply_set SS_A0
    create_supply_port  VDD
    create_supply_port  VSS
    create_supply_net VDD
    create_supply_net VSS
    create_supply_net VDD -port VDD
    create_supply_net VSS -port VSS
    create_supply_set SS_A0 -function {power VDD} -function {ground VSS} -update
    set_related_supply_set  -object_list [get_ports [all_inputs]] -power VDD -ground VSS

抽出来lib中,VDD/VSS是pin,但在std_cell lib里面VDD/VSS都是pg_pin,而且所有输入pin都会和VDD/VSS有关联
 楼主| 发表于 2023-3-31 16:01:11 | 显示全部楼层


hutiao 发表于 2023-3-31 15:56
创建一个虚拟时钟还不行,需要一个实际的时钟。我的做法是在design里面创建一个虚拟reg(CK端接clk,其它 ...


参考ug里面写的,但没有得到图中的结果
企业微信截图_16802495141106.png
发表于 2023-3-31 16:02:49 | 显示全部楼层


hutiao 发表于 2023-3-31 15:56
创建一个虚拟时钟还不行,需要一个实际的时钟。我的做法是在design里面创建一个虚拟reg(CK端接clk,其它 ...


加上这个试试:
set_voltege 0.9 -object_list VDD

set_voltege 0.0 -object_list VSS

netlist里面去掉VDD VSS
 楼主| 发表于 2023-3-31 16:13:26 | 显示全部楼层


lzqxiang 发表于 2023-3-31 16:02
加上这个试试:
set_voltege 0.9 -object_list VDD


这个加了的,也没用
发表于 2023-3-31 17:55:33 | 显示全部楼层
这样是可以抽.lib,但.db就抽不了。
===
用 lc_shell 吃lib, 可以吐出来 db

抽出来lib中,VDD/VSS是pin
---
其他东西要差不多。这两个pin 可以手工修改一下 ~~~
 楼主| 发表于 2023-4-3 09:26:08 | 显示全部楼层


voiluce 发表于 2023-3-31 17:55
这样是可以抽.lib,但.db就抽不了。
===
用 lc_shell 吃lib, 可以吐出来 db


是的,我的情况了是一样的,而且虽然有了power noise的信息,但library_features(report_delay_calculation)还是没有变成library_features(report_delay_calculation、report_power_calculation、report_noise_calculation)
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 10:19 , Processed in 0.030610 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表