在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5972|回复: 45

[求助] virtuoso导入verilog报错

[复制链接]
发表于 2022-9-23 19:29:20 | 显示全部楼层 |阅读模式
50资产
本帖最后由 wangxiangjun 于 2022-9-25 00:42 编辑

cadence ic617 virtuoso import verilog代码时出现了以下错误,第一次弄这个,不知道该怎么解决,求各位解答下
image.png

最佳答案

查看完整内容

改了几个地方。 设置了环境变量CDSHOME,原来是CDS_HOME。很多PDK,文档用的都是CDSHOME。 export CDSHOME=/EDA/Cadence/IC617 增加了几个环境变量。 #+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ # Some of these variables are used by various other tools, e.g. foundry's PDK. # Set them to be safe #+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ export CD ...
发表于 2022-9-23 19:29:21 | 显示全部楼层


wangxiangjun 发表于 2022-9-24 10:36
前辈,要不你帮我看下这个PATH文件,是那条语句出错了,我也不太懂这些语句的含义,辛苦前辈了,这个我看 ...


改了几个地方。

设置了环境变量CDSHOME,原来是CDS_HOME。很多PDK,文档用的都是CDSHOME。
export CDSHOME=/EDA/Cadence/IC617

增加了几个环境变量。
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Some of these variables are used by various other tools, e.g. foundry's PDK.
# Set them to be safe
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export CDSDIR=$CDSHOME
export CADENCE_DIR=$CDSHOME
export CDS_INST_DIR=$CDSHOME
export CDS_ROOT=$CDSHOME
export CDSROOT=$CDSHOME


修改了IC617有关的路径,加了下面两个路径到PATH
$CDSHOME/tools/bin
$CDSHOME/tools/dfII/bin

修改了INCISIVE 15.2有关的路径,增加了环境变量AMSHOME,加了下面几个路径到PATH。
export AMSHOME=/EDA/Cadence/INCISIVE152
$AMSHOME/bin
$AMSHOME/tools/bin
$AMSHOME/tools/bin/64bit
$AMSHOME/tools/dfII/bin
$AMSHOME/tools/cdsgcc/gcc/bin:

开一个新的terminal,source一下新的环境变量设置文件,再用which virtuoso, which ncvlog看一下是否找到了正确的二进制可执行文件。

Good luck!


EDAEnv_modified.txt

7.72 KB, 下载次数: 4 , 下载积分: 资产 -2 信元, 下载支出 2 信元

 楼主| 发表于 2022-9-23 19:31:13 | 显示全部楼层
自己顶一个 求各位路过的大佬解答下
发表于 2022-9-24 02:24:56 | 显示全部楼层
本帖最后由 jake 于 2022-9-23 12:26 编辑

路径里找不到ncvlog,是否没有安装Xcelium或IUS
 楼主| 发表于 2022-9-24 10:42:01 | 显示全部楼层


jake 发表于 2022-9-24 02:24
路径里找不到ncvlog,是否没有安装Xcelium或IUS


你好  我安装了INCISIVE152 这个不行吗?
 楼主| 发表于 2022-9-24 10:46:24 | 显示全部楼层


jake 发表于 2022-9-24 02:24
路径里找不到ncvlog,是否没有安装Xcelium或IUS


你那有Xcelium或IUS的安装包没,能发下以及安装教程吗?谢谢
发表于 2022-9-24 12:44:00 | 显示全部楼层


wangxiangjun 发表于 2022-9-23 20:42
你好  我安装了INCISIVE152 这个不行吗?


这个就可以了。
查一下是不是没有加到PATH里

 楼主| 发表于 2022-9-24 16:02:19 | 显示全部楼层


jake 发表于 2022-9-24 12:44
这个就可以了。
查一下是不是没有加到PATH里


请问下,在那看是否加到PATH里了?
发表于 2022-9-24 21:51:38 | 显示全部楼层


wangxiangjun 发表于 2022-9-24 02:02
请问下,在那看是否加到PATH里了?


用下面这个命令可以查环境变量PATH
echo $PATH


 楼主| 发表于 2022-9-24 22:09:55 | 显示全部楼层


jake 发表于 2022-9-24 21:51
用下面这个命令可以查环境变量PATH
echo $PATH


image.png 这算包括在里面吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 16:54 , Processed in 0.029572 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表