在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4231|回复: 15

[求助] verdi统计信号1的个数

[复制链接]
发表于 2022-7-28 10:14:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
verdi波形窗口有没有方便的方式统计某组信号1的个数?
 楼主| 发表于 2022-7-30 10:10:49 | 显示全部楼层
看起来无解。我还是把信号保存成文本数1的个数吧。
发表于 2022-7-30 21:39:30 | 显示全部楼层
用逻辑表达式配合时钟,统计上升沿就可以了
发表于 2022-7-30 23:12:53 | 显示全部楼层
本帖最后由 qsh123_123 于 2022-7-30 23:14 编辑

3楼的回答就是正解。详细点说,就是将你要判断的信号,例如下图的data[63:0],对信号使用"Logical Operation...",使用 类似(data==64'h1) ? 1 : 0;这样的逻辑表达式,生成对信号的值进行逻辑判断后的信号,然后对生成的信号进行上升沿统计就好了,“Add/Remove->Add Counter Signal by->Rising Edge”
图片.png
图片.png
 楼主| 发表于 2022-8-1 10:49:14 | 显示全部楼层


qsh123_123 发表于 2022-7-30 23:12
3楼的回答就是正解。详细点说,就是将你要判断的信号,例如下图的data[63:0],对信号使用"Logical Operatio ...


我问题可能没描述清楚。我想看某1拍内某组信号里1的个数,数edge数不出来的。
发表于 2022-8-27 10:20:17 | 显示全部楼层
你是想看某组宽信号b在某个时钟周期内有多少位是1么?
再写个信号assign a = $countones(b);就好了。
 楼主| 发表于 2022-8-28 14:28:36 | 显示全部楼层


zhqwerty- 发表于 2022-8-27 10:20
你是想看某组宽信号b在某个时钟周期内有多少位是1么?
再写个信号assign a = $countones(b);就好了。 ...


回答没毛病。
但是不可能随时想看哪个信号还得修改代码重新编译一遍。
发表于 2022-8-29 19:46:37 | 显示全部楼层


zhangdeshuai 发表于 2022-8-28 14:28
回答没毛病。
但是不可能随时想看哪个信号还得修改代码重新编译一遍。
...


那就写个脚本,用fsdbreport dump个log出来自己算吧
发表于 2022-8-31 19:10:44 | 显示全部楼层


zhangdeshuai 发表于 2022-8-1 10:49
我问题可能没描述清楚。我想看某1拍内某组信号里1的个数,数edge数不出来的。 ...


那就logic operation里面每根线直接加起来
 楼主| 发表于 2022-9-1 17:30:01 | 显示全部楼层


seabeam 发表于 2022-8-29 19:46
那就写个脚本,用fsdbreport dump个log出来自己算吧


2楼给了解决方式
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 11:15 , Processed in 0.028549 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表