在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1184|回复: 2

[求助] systemverilog中的条件约束->,有大佬可以解释一下吗?

[复制链接]
发表于 2022-5-18 15:03:33 | 显示全部楼层 |阅读模式
50资产
systemverilog中->条件约束,双向约束是什么意思?还有就是(A==1)->(B==0)等价于!A||B  这个看的有点不懂,请各位大佬答疑一下,谢谢了。

最佳答案

查看完整内容

你写错了吧,是A -> B,或 (A==1) -> (B==1) B的取值满足 A -> B这个约束,即满足A -> B为真 A=1时只有B=1时,!A || B为真,相当于A=1时候constraint B值为1 A=0时B取任意值,!A || B都为真,相当于A=0时候unconstraint B值 就是Std后面那句话意思啊
发表于 2022-5-18 15:03:34 | 显示全部楼层
你写错了吧,是A -> B,或 (A==1) -> (B==1)
B的取值满足 A -> B这个约束,即满足A -> B为真
A=1时只有B=1时,!A || B为真,相当于A=1时候constraint B值为1
A=0时B取任意值,!A || B都为真,相当于A=0时候unconstraint B值
就是Std后面那句话意思啊
image.png


发表于 2022-5-18 17:35:01 | 显示全部楼层
二楼正解!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-16 20:37 , Processed in 0.021786 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表