在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1654|回复: 5

[求助] 求助!DC综合的时候显示Power kit的Buf和Inv单元显示没有primary PG pin

[复制链接]
发表于 2022-5-1 17:41:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
错误编号
MV-162 MV-163 MV-170
image.png
求大神帮忙解答!不知道是不是Power kit的单元出了问题
 楼主| 发表于 2022-5-4 15:10:53 | 显示全部楼层
顶顶顶 求助!!
发表于 2022-5-7 17:42:16 | 显示全部楼层
man了一下这个Warning ,楼主可以检查一下lib是不是正确的,库里的cell有没有正常设置
之前有遇到过不含pg_pin的std,需要用DC或者ICC手动加pg_pin
但是一般用作MV的lib都会有电源信息的
image.png
image.png
 楼主| 发表于 2022-5-7 23:16:06 | 显示全部楼层


Lanp 发表于 2022-5-7 17:42
man了一下这个Warning ,楼主可以检查一下lib是不是正确的,库里的cell有没有正常设置
之前有遇到过不含pg_ ...


感谢您的回复!

新手上路,不太明白在DC里面怎么手动加pg_pin。

请问是用什么命令呢?是在DC里面加还是在UPF文件里面加呢?

发表于 2022-5-9 09:35:56 | 显示全部楼层
建议楼主学习一下lib文件的格式,不是很难
每个cell都如何设置,这一步还是和关键的,pg_pin也是lib里的信息,没有这部分信息的话可以按照以下步骤检查:
1、首先应该与工艺提供商协商,因为不管自己怎么操作,到最后还是要以对接信息为准,询问是否能提供包含pg_pin信息的lib;
2、工艺不提供的话就要自己添加了,这里建议使用dc的add_pg_pin_to_lib 指令,具体使用方式可以在dc_shell中man一下这个指令看看,这个指令需要std的MILKYWAYk库,一般会提供;
3、手动文本添加pg_pin,这一步是最不推荐的,对于cell少的库还好,std就还是别用了。
总的来说还是有方法的,选一个比较方便合适的就行
另外,以上这些解决方法也是我自己经验来的,如果楼主已经能够确定是相同问题的话可以尝试一下
其实很多warning只要man一下就能大致摸索到问题了,多尝试一下man指令吧,很多例子都不错

UPF Library Preparation.pdf

231.35 KB, 下载次数: 17 , 下载积分: 资产 -2 信元, 下载支出 2 信元

 楼主| 发表于 2022-5-9 10:45:10 | 显示全部楼层


Lanp 发表于 2022-5-9 09:35
建议楼主学习一下lib文件的格式,不是很难
每个cell都如何设置,这一步还是和关键的,pg_pin也是lib里的信 ...


谢谢您!感谢 我去学习一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 07:49 , Processed in 0.028858 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表